「オペランド」を含む例文一覧(351)

<前へ 1 2 3 4 5 6 7 8 次へ>
  • 演算子をオペランドの前に置く数式を形成する括弧のない記号法
    a parenthesis-free notation for forming mathematical expressions in which each operator follows its operands  - 日本語WordNet
  • 原始(もとの)オペランド(演算数)は,レジスタでも即値データ項目でもよい
    The source operand can be a register, or an immediate data item  - コンピューター用語辞典
  • NOT(否定)演算(子)は唯一つのオペランドをもつ論理連結語である
    NOT operation is a logical connective with just one operand  - コンピューター用語辞典
  • 実数のオペランドをもつ式の演算結果は実数になる
    the result of an expression containing any real operand is real  - コンピューター用語辞典
  • そのオペランドに特定の値がコーディングされて(与えられて)いない
    No specific value is coded for that operand  - コンピューター用語辞典
  • 演算子とオペランドそれぞれは別々の引き数でなければなりません。
    Each operator and operand must be a separate argument.  - JM
  • これらのマクロはオペランドを 1 回だけ評価することが保証されている。
    The macros are guaranteed to evaluate their operands only once.  - JM
  • オペランドには任意の実数の浮動小数点数型を指定できる。
    The operands can be of any real floating-point type.  - JM
  • またオプションのファイルオペランドtarget についても議論しています。
    or discuss the optional file operand target .  - JM
  • のようなオペランドやファイル引数に使われる引数マクロ\\&Ar
    argument macro is used for an operand or file argument like target  - JM
  • フォトマップと定数をオペランドとして用い、ROI と組み合わせ、制約は与えない。
    Arithmetic element tests, photomap and constant operands, ROIs, unconstrained. -band1 - XFree86
  • \\-comparedyadic1 〜 \\-comparedyadic6 dyadic フォトマップをオペランドとした、様々な Compare 演算子のテスト。
    Test various Compare operators with dyadicphotomap operands.  - XFree86
  • \\-compareroidyadic1 〜 \\-compareroidyadic6 ROI を用い、dyadic フォトマップをオペランドとした、様々な Compare 演算子のテスト。
    Test various Compare operators with dyadic photomapoperands, using ROIs.  - XFree86
  • dyadic フォトマップをオペランドとして使う。 様々な演算子を使用。
    Logical element tests, dyadic photomaps as operands, various operators. - XFree86
  • フォトマップと定数0をオペランドとして使う。 様々な演算子、ROI を使用。
    Logical element, photomap and constant of 0 operands, various operators, ROIs. - XFree86
  • dyadic フォトマップをオペランドとして使う。 様々な演算子、ROI を使用。
    Logical element, dyadic photomaps as operands, various operators,ROIs.  - XFree86
  • 演算器4はその命令及びオペランドに従い命令を実行する。
    The computing unit 4 executes the instructions according to the instruction and the operand. - 特許庁
  • PLCのプログラミング装置におけるオペランドの入力支援方法
    METHOD FOR SUPPORTING OPERAND INPUT IN PROGRAMMING DEVICE FOR PLC - 特許庁
  • レディフラグ設定回路340は、発行可能となった命令のYオペランド後続参照エントリ情報335及びZオペランド後続参照エントリ情報336をYオペランド及びZオペランドのレディフラグ333及び334に反映する。
    A ready flag setting circuit 340 reflects the information 335 and 336 of an issuable instruction in the ready flags 333 and 334 of the Y operand and the Z operand. - 特許庁
  • 2個より多くのオペランドを入力可能な加算器を実現する。
    To realize an adder capable of inputting more than two operands. - 特許庁
  • オペランドキャッシュメモリアクセス装置及びそのアクセス方法
    OPERAND CACHE MEMORY ACCESS SYSTEM AND ITS ACCESS METHOD - 特許庁
  • 3個のソースオペランドを加算する新たな命令を設ける。
    To provide a new instruction that adds three source operands. - 特許庁
  • また、CPU11は、表示部15により表示される単項演算部のオペランドのうち、入力式に含まれるとともに出力式側オペランドの算出元として演算に使用された入力式側オペランドを、当該出力式側オペランドに対応する表示態様で表示部15に表示させる。
    The CPU 11 causes the display unit 15 to display input expression-side operands included in the input expression and used for arithmetic as calculation sources of output expression-side operands, out of the operands of unary operation sections displayed by the display unit 15, in display modes corresponding to the output expression-side operands. - 特許庁
  • グループ化ロードは各々、四つの8ビットオペランドを含んでいる。
    The grouped words include four 8-bit operands. - 特許庁
  • 従って、オペランド・アクセス・ステージは、レジスタ・ファイルではなくオペランド・バッファの読み出しを必要とし、オペランド・バッファは1サイクルで読み出され得る。
    Thus, the operand access stage requires the reading of not the register file but the operand buffer, and the operand buffer is read in one cycle. - 特許庁
  • オペランド埋込部14は、オペランド埋込情報17に示される規則に従って、バイトコード中のオペランド値を当該機械語命令列に埋め込む。
    An operand embedding part 14 embeds an operand value in the byte code in the machine language instruction string according to a rule shown by operand embedding information 17. - 特許庁
  • 演算器12は、2つのオペランドのうち、一方のオペランドとしてレジスタからの読み出しデータと即値のいずれかの入力を受けることが可能であり、他方のオペランドとして即値のみが入力される。
    A computing unit 12 can receive an input of either of read-out data from a register and an immediate value as one operand and receives only an immediate value as the other operand of two operands. - 特許庁
  • n+1番地のNOP命令のオペランド[26:0]を、先行するn番地の命令コードのオペランドフィールド[26:0](オペランドA)のビット列に置換える。
    An operand [26:0] of NOP instruction of n+1 address is substituted by a bit string of operand field [26:0] (operand A) of an instruction code of preceding n address. - 特許庁
  • キャッシュ制御装置300は、局所的にオペランドデータにアクセスする命令については前記オペランドデータのアドレスをオペランドキャッシュ400に格納し、オペランドデータを非局所的にアクセスするロード命令については次回アクセスされるオペランドデータのロードアドレスを先読みする。
    A cache controller 300 stores the address of the operand data into an operand cache 400 with respect to an instruction that locally accesses the operand data and looks ahead the load address of operand data to be next accessed with respect to a load instruction that nonlocally accesses the operand data. - 特許庁
  • このシステムは、さらに、オペランドが倍精度であること、該オペランドが先行する演算の結果に基づいて増分を要求していること、および、該先行する演算が該増分を実行しなかったことを判断したのに応答して、該オペランドの倍精度増分を実行するための命令を含む。
    The system further includes an instruction for performing double precision incrementing of the operand in response to determination that the operand is double precision, that the operand requires the incrementing based on the result of the previous operation and that the previous operation has not performed the incrementing. - 特許庁
  • このシステムは、さらに、オペランドが単精度であること、該オペランドが先行する演算の結果に基づいて増分を要求していること、および、該先行する演算が該増分を実行しなかったことを判断したのに応答して、該オペランドの単精度増分を実行するための命令を含む。
    The system further includes an instruction for performing single-precision increment of the operand in response to determination that the operand is single-precision, that the operand requires the incrementing based on the result of previous operation and that the previous operation has not performed the incrementing. - 特許庁
  • ソースオペランドと回転量とを示す回転命令を受信し(221)、回転命令が示すデスティネーションオペランドに、回転量で回転させたソースオペランドを有する結果を格納する(222)ことにより、キャリーフラグの読み出しを伴わずに回転命令の実行を完了する(223)。
    A rotate instruction which indicates a source operand and a rotate amount is received (221), and a result having the source operand rotated by the rotate amount is stored in a destination operand indicated by the rotate instruction (222), whereby execution of the rotate instruction completes without reading the carry flag (223). - 特許庁
  • オペランド解析回路は、オペランドA、Bの指数を参照して、先行ビット相殺状態の存在を検出し、加算回路は、先行ビット相殺状態が存在する場合において、結果Rの仮数部を生成するために、オペランドA、Bに対する修正された仮数部を加算する。
    In the case that the leading bit cancellation condition is present, an addition circuit performs addition of the modified significands for the operands A and B, in order to produce the significand of the result R. - 特許庁
  • 各オペレーターがそのオペランドに先行する数式を作るための括弧のない表記法
    a parenthesis-free notation for forming mathematical expressions in which each operator precedes its operands  - 日本語WordNet
  • アセンブラーは,事前アセンブリー時に,オペランドフィールドの論理式を評価する
    The assembler evaluates the logical expression in the operand field at pre-assembly time  - コンピューター用語辞典
  • 直接命令は2種類を除いて累算器を暗黙のオペランドとして使う。
    All but two of the immediate instructions uses the accumulator as an implied operand.  - コンピューター用語辞典
  • 命令(語)は命令コードと一つ又は二つ以上のオペランド(演算数)とから成る
    An instruction is composed of an operation code and one or more operands  - コンピューター用語辞典
  • ユーザは,オペランドにリテラルを使用することによって,プログラムにデータを組み込むことができる
    You can use literls as operands in order to introduce data into your program  - コンピューター用語辞典
  • オペランド(群)は,処理(演算)の対象になっているデータ要素のアドレス(番地)を識別する
    The operand or operands identify the addresses of the data elements to be manipulated  - コンピューター用語辞典
  • オペランド番地はプログラムカウンタからEフィールドを減ずることによって計算される。
    The operand address is computed by subtracting the E field from the program counter.  - コンピューター用語辞典
  • オペレータ名は次に来る開き括弧(オペランドリストの始まり)とブランクで分離してはいけない。
    Operator names may not be separated from the following open parenthesis (the beginning of the operand list) by blanks.  - コンピューター用語辞典
  • 命令のオペランド内で,構造化変数の特定フィールドへの参照が可能になる
    It is possible to make reference to a particular field of a structured variable, in an instruction operand  - コンピューター用語辞典
  • (データなどの)転送は,多くの場合,オペランドを二つもつ命令によって達成(実行)される
    Transfers are for the most part executed with the aid of a two-operand instruction  - コンピューター用語辞典
  • オペランドが無い場合、localはローカル変数の一覧を標準出力に出力します。
    With no operands, local writes a list of local variables to the standard output.  - JM
  • (<、「小なり」のような) 通常の関係操作 (relation operations) は、オペランドの一方が NaN の場合には失敗する。
    The normal relation operations (like <, "less than") will fail if one of the operands is NaN.  - JM
  • オペランドの位置に現れたものを、それに対して行われる演算に応じて
    appearing in an operand position to an integer or a string depending on  - JM
  • フォトマップをオペランドとして用い、制御プレーンと組み合わせる。 \\-arithmeticmonadiccplane1 〜 \\-arithmeticmonadiccplane9 算術要素のテスト。
    Arithmetic element tests, using photomapsas the operands, with Control Planes.  - XFree86
  • \\-comparecplanedyadic1 〜 \\-comparecplanedyadic6 制御プレーンを用い、dyadic フォトマップをオペランドとした、様々な Compare 演算子のテスト。
    Test various Compareoperators with dyadic photomap operands, Control Planes.  - XFree86
  • マスクレジスタ31〜33は各オペランド毎にデータの有効/無効を示すマスクビットを格納する。
    Mask registers 31-33 store mask bits indicating validity/invalidity of data every operand. - 特許庁
  • 第1、第2、第3オペランドに浮動小数点演算を適用するためのデータ処理装置および方法
    DEVICE AND METHOD FOR PROCESSING DATA FOR APPLYING FLOATING POINT ARITHMETIC TO FIRST, SECOND AND THIRD OPERAND - 特許庁
<前へ 1 2 3 4 5 6 7 8 次へ>

例文データの著作権について