小窓モード


プレミアム

ログイン
設定

設定

VHDLとは 意味・読み方・使い方

ピン留め

追加できません

(登録数上限)

単語を追加

意味・対訳 超高比重リポ蛋白; 超高密度リポ蛋白質; 超高密度リポ蛋白; 超高比重リポ蛋白質


JST科学技術用語日英対訳辞書での「VHDL」の意味

VHDL


VHDL


「VHDL」を含む例文一覧

該当件数 : 16



例文

Hardware description languages, such as VHDL and Verilog, are standard tools in the design of electronic circuitry.発音を聞く 例文帳に追加

VHDLやVerilogのようなハードウェア記述言語は電子回路の設計のための標準的ツールである。 - コンピューター用語辞典

Chapter 6, Chip Source Code, contains a complete listing of the chip design language (VHDL) code that specifies how we designed the custom gate array chip.発音を聞く 例文帳に追加

第6章チップソースコードには、ゲートアレイのカスタムチップを設計したときのチップデザイン言語(VHDL)コードの完全なリストがある。 - Electronic Frontier Foundation『DESのクラック:暗号研究と盗聴政策、チップ設計の秘密』

Thereafter, information connection of the VHDL component is generated, based on a connection line in the hardware component graphic.例文帳に追加

その後、ハードウエア構成図の連接線に基づき、VHDLコンポーネントの情報連接を作る。 - 特許庁

SYSTEM AND METHOD FOR ANALYZING VHDL SIMULATOR AND PROGRAM RECORDING MEDIUM例文帳に追加

VHDLシミュレータのアナライズシステム、方法及びプログラム記録媒体 - 特許庁

Finally, the entity and the architecture of VHDL are outputted into a file by a string system.例文帳に追加

最後にストリング方式によって、VHDLの実体とアーキテクチャーをファイルに出力する。 - 特許庁

In this method for converting a hardware component graph into the hardware description language, a VHDL programming code is generated automatically.例文帳に追加

ハードウエア構成図をハードウエア記述言語に転換する方法は、自動的にVHDLプログラミングコードにできるものである。 - 特許庁

例文

The hierarchical order of VHDLs which are manually arranged in the conventional example is automatically analyzed 11 by making a VHDL simulator analyze the order.例文帳に追加

従来人手で並べていたVHDLの階層の順序を、VHDLシミュレータに解析させて、自動的にアナライズ11を行わせる。 - 特許庁

>>例文の一覧を見る


調べた例文を記録して、 効率よく覚えましょう
Weblio会員登録無料で登録できます!
  • 履歴機能
    履歴機能
    過去に調べた
    単語を確認!
  • 語彙力診断
    語彙力診断
    診断回数が
    増える!
  • マイ単語帳
    マイ単語帳
    便利な
    学習機能付き!
  • マイ例文帳
    マイ例文帳
    文章で
    単語を理解!
  • その他にも便利な機能が満載!
Weblio会員登録(無料)はこちらから

Weblio英和対訳辞書での「VHDL」の意味

VHDL


VHDL

Weblio英和対訳辞書はプログラムで機械的に意味や英語表現を生成しているため、不適切な項目が含まれていることもあります。ご了承くださいませ。

Wiktionary英語版での「VHDL」の意味

VHDL

出典:『Wiktionary』 (2017/01/18 08:31 UTC 版)

参照

  1. ^ “VHDL”, in (Please provide the title of the work)[1] (HTML, in English), Wikipedia, 2014-10-10, archived from the original on 2014-10-10, retrieved 2014-10-30

ウィキペディア英語版での「VHDL」の意味

VHDL

出典:『Wikipedia』 (2011/07/04 09:33 UTC 版)

英語による解説
ウィキペディア英語版からの引用

「VHDL」を含む例文一覧

該当件数 : 16



例文

This system is constituted so as to generate a logic of a scan path at a VHDL level based on definition information on the scan path (#8).例文帳に追加

スキャン・パスの定義情報に基づいて、VHDLレベルのスキャン・パスのロジックを生成するようにした(#8)。 - 特許庁

To generate a memory test pattern by VHDL description with respect to its method, device and program simply if a data sheet and a memory test sequence are prepared, without any knowledge of a very high speed integrated hardware description language (VHDL) and skill of circuit designing.例文帳に追加

本発明はメモリテストパターン合成方法,装置及びプログラムに関し,VHDLの知識や回路設計スキルがなくても,メモリのデータシートやメモリテストシーケンスを用意すれば簡単にVHDL記述のメモリテストパターンを作成できることを目的とする。 - 特許庁

Thus, intentional insertion of an individual buffer is described in the VHDL so that the same shift register uses a clock outputted from the same buffer so as to decrease clock skew.例文帳に追加

これにより、同一のシフトレジスタ内では、同一のバッファから出力されるクロックを使用するように、意図的に個別のバッファを挿入するようVHDLで記述することにより、クロックスキューを小さくする。 - 特許庁

The digital controller will continue to the technology of the future in the form of VHDL codes, and the logic is pure logic and is independently of technology.例文帳に追加

ディジタル・コントローラはVHDLコードの形式で将来のテクノロジへ引き継がれ、これは、純然たるロジックでありテクノロジから独立している。 - 特許庁

Then a timing specification description generation part 15 converts information inputted on the screen to a VHDL description and inserts it into a function description stored in a specification description storage part 16.例文帳に追加

そして、タイミング仕様記述生成部15は、この画面上で入力される情報をVHDL記述に変換し、仕様記述記憶部16に記憶された機能記述に挿入する。 - 特許庁

Successively, the type of the node in the hardware component graph is determined, and a corresponding VHDL component is generated, and correlation data are written in an architecture of the hardware description language.例文帳に追加

続いてハードウエア構成図内のノードの型別を判断して相対するVHDLコンポーネントを作り、更に相関データをハードウエア記述言語のアーキテクチャー内に書き込む。 - 特許庁

Integrated co- simulation environment of the software (to be written, for example, in C or assembler language) and the hardware (to be written, for example, in VHDL and a subset of C) is obtained by the addition of an instruction set simulator which operates under the same OS is added.例文帳に追加

同じOSの下で動作する命令セットシミュレータが付加されることにより、ソフトウェア(例えばCやアセンブラで書かれる)とハードウェア(例えばVHDLやCのサブセットで書かれる)の統合コシミュレーション環境が得られる。 - 特許庁

例文

In this model generation system, a circuit inside an FPGA is produced by use of buffer information and pin assignment information to be produced in circuit design by a VHDL file, and the IBIS model is output by use of an arranging/wiring tool provided from each vendor on the basis of the circuit.例文帳に追加

本発明は、回路設計時に作成しなければならないピンアサイン情報とバッファ情報とを利用してFPGA内の回路をVHDLファイルで作成し、この回路に基づいて各ベンダから提供される配置配線ツールを用いてIBISモデルを出力させることにより、上記課題を解決する。 - 特許庁

>>例文の一覧を見る


VHDLのページの著作権
英和・和英辞典 情報提供元は 参加元一覧 にて確認できます。

   
独立行政法人科学技術振興機構独立行政法人科学技術振興機構
All Rights Reserved, Copyright © Japan Science and Technology Agency
Text is available under Creative Commons Attribution-ShareAlike (CC-BY-SA) and/or GNU Free Documentation License (GFDL).
Weblio英和・和英辞典に掲載されている「Wiktionary英語版」の記事は、WiktionaryのVHDL (改訂履歴)の記事を複製、再配布したものにあたり、Creative Commons Attribution-ShareAlike (CC-BY-SA)もしくはGNU Free Documentation Licenseというライセンスの下で提供されています。
Text is available under Creative Commons Attribution-ShareAlike (CC-BY-SA) and/or GNU Free Documentation License (GFDL).
Weblio英和・和英辞典に掲載されている「Wikipedia英語版」の記事は、WikipediaのVHDL (改訂履歴)の記事を複製、再配布したものにあたり、Creative Commons Attribution-ShareAlike (CC-BY-SA)もしくはGNU Free Documentation Licenseというライセンスの下で提供されています。

ピン留めアイコンをクリックすると単語とその意味を画面の右側に残しておくことができます。

こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する

このモジュールを今後表示しない
みんなの検索ランキング
閲覧履歴
無料会員登録をすると、
単語の閲覧履歴を
確認できます。
無料会員に登録する
英→日 日→英
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する

©2024 GRAS Group, Inc.RSS