1016万例文収録!

「対手」に関連した英語例文の一覧と使い方(990ページ目) - Weblio英語例文検索


小窓モード

プレミアム

ログイン
設定

設定


セーフサーチ:オン

不適切な検索結果を除外する

不適切な検索結果を除外しない

セーフサーチについて

対手の部分一致の例文一覧と使い方

該当件数 : 49960



例文

また、下側芯材と上側芯材とが当接される箇所には、芯材の軸直交方向の少なくとも一方向の相変位を制限するせん断抵抗部が設けられるとともに、上側芯材は継函体の外殻部31によって囲繞される。例文帳に追加

A shear resistance part for limiting relative displacement in at least one direction of the directions crossing axis orthogonally of the core member is provided in a section where the lower side core member is abutted on the upper side core member, and the upper side core member is surrounded by the outer shell 31 of the joint case body. - 特許庁

ゴミ袋Gの底部を上下方向に取り回すように一の支持ベルト部11が設けられ、その支持ベルト部11の上側部分及び中間部分が閉ループ状の連結ベルト部14によって連結され、支持ベルト部11の上側部分の折り返し部が取ベルト部12とされる。例文帳に追加

This carrier implement is provided with a pair of support strap parts 11 vertically passing around the bottom part of the garbage bag G, the upper parts and the intermediate parts of the support strap parts 11 are connected by closed loop-shaped connecting strap parts 14, and fold parts in the upper part of the support strap parts 11 are formed as handle strap parts 12. - 特許庁

各パルスカウンタがカウントを開始した以後、インデックスセンサーがインデックスを検出した場合に、応する回転リールに関するパルスカウンタのカウント数が下限に達しなかったときに、開始不同回転制御段の制御の実行中においてはエラーと判断しない。例文帳に追加

In a case where an index sensor detects an index after each pulse counter starts to count, when the counting number of the pulse counter related to the corresponding rotary reel does not reach the lower limit, the slot machine does not determine it as an error during the execution of the control of the unequal-start rotation control means. - 特許庁

先ず、タッチパネル式表示段の画面上の複数のセル23A,23B,23Cについて一つずつ順番に刺激表示(刺激色を付す表示)を行うことにより、被験者にして視覚的刺激を与え、被験者に刺激表示の表示順序を記憶させる。例文帳に追加

First, visual stimulation is given to the subject by performing stimulative display (display in stimulative colors) on a plurality of cells 23A, 23B and 23C one by one on a display panel of a touch-panel type display means, and the subject is made to memorize the order of display of the stimulative display. - 特許庁

例文

固定バンド10は,保持器7を構成する保持板9に向して長方向に沿って所定間隔で凸部18に折り曲げられた折曲部19を多数備えた保持部20と,両端がエンドキャップ4に係止されるフック部21とから構成されている。例文帳に追加

The fixing band 10 is structured by a holding part 20 having a large number of bending parts 19 folded as protruding parts 18 with a predetermined space along the longitudinal direction facing against a holding plate 9 structuring the retainer 7 and a hook part 21 of which end parts are engaged with end caps 4. - 特許庁


例文

磁気吸引部9におけるプランジャ4との向部に、プランジャ4側へ膨出する凸部(円弧状の球曲面)形状の磁束レンズ段14を設け、プランジャ4と磁気吸引部9との間で発生する磁束の流れ向きを、シャフト摺動部の軸中心へ向ける。例文帳に追加

On a magnetic attraction part 9 at its opposite portion to a plunger 4, a flux lens means 14 is provided which is formed in a convex (circularly spherical) shape swollen to the side of the plunger 4 for directing the flow of a magnetic flux generated between the plunger 4 and a magnetic attraction part 9, to the axis of a shaft sliding part. - 特許庁

ハンドル本体1を回転自在なように支持する回転支持部3を有するとともに、一方の端部側にはベース2に設けられたストッパ保持部21及びハンドル本体1の長方向軸線にして直角に設けられたフック部11からなる係合部4を設ける。例文帳に追加

An engagement part 4 having a rotatory support part 3 to support a handle main body 1 free to rotate and constituted of a stopper holding part 21 provided on a base 2 and a hook part 11 provided at a right angle against an axis in the longitudinal direction of the handle main body 1 on one end part side is provided. - 特許庁

電圧検出段を用いたり同期機の巻線を短絡したりすることなく、電流検出時の検出ノイズにする耐力を備え、同期機が空転状態であっても位置センサを用いずに起動可能な同期機の制御装置及び同期機の制御方法を提供する。例文帳に追加

To provide a controller for a synchronous machine and a method of controlling synchronous machine, which has a resistance with respect to detection noise at detection of current, without having to use a voltage detecting means or shorting the windings of the synchronous machine, and is capable of actuating without position sensors, even if the synchronous machine is in idle state. - 特許庁

モータなどの駆動段を台車枠に設けた場合において、車輪と台車枠との間に生ずる大きな相変位を吸収することができ、しかも構造が簡単で、台車全体の重量の増大を招くことがない鉄道車両用台車の駆動装置を提供する。例文帳に追加

To provide a driving device of a bogie for a railway rolling stock capable of absorbing the large relative displacement between a wheel and a bogie frame, having a simple structure and being free from the increase of a weight of the bogie as a whole, in the case when a driving means such as a motor is mounted on the bogie frame. - 特許庁

例文

入力装置の簡単な操作により、表現される魔法攻撃の特性を自由に調節でき、また音声によって自分の攻撃のみならず相の攻撃内容までもがリアルタイムに確認できる戦型格闘アクションゲームを提供する。例文帳に追加

To provide a competition type battle action game in which the characteristic of a magic attack to be expressed can be freely controlled by the simple operation of an input device and not only a player's own attack but also the attack contents of the competing party can be confirmed in real time in voice. - 特許庁

例文

構造物3の内部の経年変化を検出する構造物非破壊検査装置において、検査象の構造物3に予め埋設された熱源2と、熱源の熱放射に基づいて構造物の表面に現れる温度分布を検出する検出段とを設ける。例文帳に追加

The nondestructive inspecting apparatus for detecting aging in the inside of a construction 3 is provided with a heat source 2, buried beforehand into the construction 3, to be inspected and a detection means for detecting a temperature distribution appearing on the surface of the construction, based on the heat radiation of the heat source. - 特許庁

電波を送受信し、且つ当該電波を電力に変換する送電用アンテナ1において、送電用アンテナ1のアンテナ面2が受ける外力を検出し、当該受けた外力に応する力を当該アンテナ面2が平面となるように付加する付加段(電動ジャッキ10)を設ける。例文帳に追加

The antenna 1 for power transmission which transmits/receives radio waves and converts the radio waves into electrical power is provided with an adding means (motor-driven jack 10) for detecting an external force to which the antenna surface 2 of the antenna 1 is subjected, and applying a force corresponding to the received external force so that the surface 2 can become flat. - 特許庁

さらに、薄帯の長方向にして曲率半径Rを有する巻鉄心に巻回された巻鉄心であって、薄帯の幅方向の曲率中心側が巻鉄心の曲率中心側に一致していることを特徴とする前記薄帯を用いた巻鉄心。例文帳に追加

Furthermore, the wound core has a structure, in which the thin belt is rolled up into the wound core having the radius of curvature R in the lengthwise direction of the thin belt, and the center of curvature of the thin belt in the widthwise direction coincides with that of the wound core. - 特許庁

被洗浄面の細かな凹凸部にしても確実に汚れを除去できると共に、毛抜け等の発生しない、高い耐久性を有し、簡易、かつ迅速な製造順にて、安価な洗車機用洗浄ブラシ及び洗車機を提供する。例文帳に追加

To provide both a less-expensive cleaning brush for a car washing machine and a car washing machine in which stains left in the fine corrugations at a surface to be cleaned can be surely removed, bristles or the like are not removed, a high durability is assured and the brushes can be produced by an easy and fast manufacturing processes. - 特許庁

第1形鋼1のボルト4の貫通孔の形状を第1形鋼1の長方向に延びる長孔7とし、その長孔7とボルト4の寸法の範囲で第1形鋼1と第2形鋼2の相変位を可能にする。例文帳に追加

A through-hole of the first steel section 1, through which the bolt 4 passes, is formed as a slot 7 which is elongated in the longitudinal direction of the first steel section 1, so that the first section steel 1 and the second section steel 2 can be relatively displaced from each other in the dimensional ranges of the slot 7 and the bolt 4. - 特許庁

接続自在な複数のオプション機器の各々に応して設けられたDC−DCコンバータと、前記オプション機器の接続状態に応じて前記DC−DCコンバータの動作オン/オフを制御する制御段と、を具備することを特徴とする電源装置。例文帳に追加

The power supply device includes DC-DC converters, provided so as to correspond to each of a plurality of optional apparatus that can be freely connected, and a control means, which controls the ON/OFF of the operation of the DC-DC converters, according to the connection state of the optional apparatus. - 特許庁

充填容器を、ゴムホースを介して連通ダクトに接続したノズルに予め接続しておくので、溶剤供給時に於いて、充填容器をゴムホースに接続する間及び作業が省け、溶剤流体を、ノズルにして即供給することができる。例文帳に追加

A nozzle 13 for erupting a solvent fluid (a) toward the almost whole front face area of an evaporator is installed in a communicating duct 8 positioned on the upstream side of the evaporator as an air conditioning air intake passage of a vehicular air conditioner. - 特許庁

このことにより、マスター情報担体2の情報信号の磁気記録媒体1への転写における外部磁界の印加、除去を、磁界発生段17を密着体にして回転させながら行なうことができるので、磁気記録媒体1の再生信号の劣化を防止できる。例文帳に追加

Accordingly, the application and removal of the external magnetic field in the transcription of the information signal of the master information carrier 2 on the magnetic recording medium 1 can be performed while rotating the magnetic field generating means 17 relative to the contact body, so that the deterioration of the reproduction signal from the magnetic recording medium 1 can be prevented. - 特許庁

電動プレス装置において、ナット支持部材の回動を抑止する回動ロック段を設け、かつ差動部材とスライダとの連結関係をねじ結合の関係とした上で、差動部材の回動にするスライダの高さ位置の変位を微小にする。例文帳に追加

In the motor-driven press machine, a rotation lock means of suppressing the rotation in a nut supporting member is provided, and, the connection between a differential member and a slider is made into the relation of screw bonding, and then, the displacement of the height position of the slider to the rotation of the differential member is made fine. - 特許庁

枠体Aにして扉Bを開閉させるべく枠体Aと扉Bとに跨って装着されている上下2つの蝶番C,C間にできる隙間をなくし、扉Bを閉めた時枠体Aとの間で指を詰めることがないようにする。例文帳に追加

To prevent the formation of an opening formed between two upper-lower hinges C and C installed extensively over a frame body A and a door B for opening and closing the door B to the frame body A, and to prevent the catching of a hand and a finger between the door B and the frame body A when the door is closed. - 特許庁

によることなく、自動的に炉体内に再着火棒を挿入し、極上に設置できる溶融炉の再着火棒挿入機構を具備する溶融炉、プラズマアークの再着火方法及び再着火棒挿入機構を提供する。例文帳に追加

To provide a melting furnace provided with a re-igniting bar inserting mechanism for the melting furnace for automatically inserting a re-igniting bar into a furnace body without manual operation and possible to be provided in a counter electrode, and to provide a plasma arc re-igniting method and the re-igniting bar inserting mechanism. - 特許庁

段付きボルト18の軸部は、筋交い部材10の長方向にする直交方向のかご床支持枠8側へ向けて筋交い部材10から突出し、張力調整板15の第2長孔15aと取付金13の第1長孔13aとに挿通されている。例文帳に追加

The shaft part of the stepped bolt 18 projects from the brace member 10 towards a car floor supporting frame 8 side in a vertical direction to the longitudinal direction of the brace member 10 and is inserted into the second long hole 15a of the tension adjusting plate 15 and the first long hole 13a of the mounting metal 13. - 特許庁

ごみ清掃器4は,車両本体2にして取り付けられたごみ収集容器7とタイヤ3に摺接して踏面13に付着したごみをかき落としてごみ収集容器7内へ収容させるかき取り段15とから成るアタッチメント5に構成されている。例文帳に追加

A litter cleaner 4 is constructed in an attachment 5 comprising a litter collection vessel 7 attached to a vehicle body 2 and a scraping means 15 which comes into sliding contact with a tire 3 to scrape litter adhered to the wheel tread 13 and allows the scraped litter to drop in the litter collection vessel 7. - 特許庁

前記減衰段10の取り付け部15の取り付け面15dは、前記水平構面2及び前記鉛直構面7のうちの少なくとも一方の構面7の取り付け部9cの取り付け面9dにして水平方向に摺動可能に取り付けられる。例文帳に追加

The mounting surface 15d of a mounting part 15 of the damping means 10 is fitted slidably in the horizontal direction to the mounting surface 9d of a mounting part 9c of at least either the structural plane of the horizontal structural plane 2 and the vertical structural plane 7. - 特許庁

複数のピーク(3a、3b、3c)が検出されたときはフラッターエコーが存在するものと判断し、検出されたピークの間隔T又は前記ループの遅延時間Dのうちの短い方の時間に応する時間分の残響音を生成するように前記残響付加段を設定する。例文帳に追加

When a plurality of peaks (3a, 3b and 3c) are detected, it is determined that there is the flatter echo, and the reverberation adding means is set so that reverberation sound of time portion corresponding to a shorter period of a detected peak interval T or delay time D of the loop may be created. - 特許庁

fθレンズ4aとfθレンズ4bをシート状ワーク2の長方向(Y方向)と直角の幅方向(X方向)にずらせて配置し、2個のシート状ワーク2を加工テーブル11にして幅方向に並列に固定して、前記シート状ワークを加工をする。例文帳に追加

An fθ lens 4a and an fθ lens 4b are arranged in such a manner that they are shifted to the longitudinal direction (Y direction) of sheet-like works 2 and to the width direction (X direction) vertical thereto, and the two sheet-like works 2 are fixed in parallel to a machining table 11 and are machined. - 特許庁

変形容易化段14は、種々の構成が採用できるが、例えば、ポケット8を環状体11の凹部11と一の爪片9,9により形成し、隣合うポケット8,8の背を向き合う各爪片9,9の間の空間11を、空間埋め部材12で埋めたものとする。例文帳に追加

Various constitutions can be applied as the deformation promoting means 14, for example, that where the pocket 8 is formed of the recessed part 10 of the annular body and a pair of claw pieces 9, 9, and a space 11 between the claw pieces 9, 9 faced back to back of the adjacent pockets 8, 8 is filled with a space filling member 1. - 特許庁

認識画像の象とする処理、取得段により得た認識画像の状態に応じ、複数の中から選択したOCRエンジンが設定されているか否かを確認し(S22)、設定されていれば(S22のYes)、指定のOCRエンジンを用いて認識処理を行う(S23)。例文帳に追加

In accordance with processing to be applied to the recognition image and the state of the recognition image acquired by the acquisition means, whether an OCR engine selected from a plurality of OCR engines is set or not is confirmed (S22), and when the OCR engine is set (Yes in S22), recognition processing is performed by using the specified OCR engine (S23). - 特許庁

複数のレーザ光源1,2と、各レーザ光源に応して夫々設けられた、レーザ光源からの光線を反射させる微小鏡配列(DMD)4,5と、各微小鏡配列で反射した各レーザ光源からの光線を合成する光線合成段10,11、19,15とを備えている。例文帳に追加

The illuminator for the microscope is equipped with a plurality of laser beam sources 1 and 2, micromirror arrays (DMD) 4 and 5 respectively provided corresponding to the laser beam sources and reflecting the light beams from the laser beam sources, and light beam compositing means 10, 11, 19 and 15 compositing the light beams from the respective laser beam sources reflected by the respective micromirror arrays. - 特許庁

画像処理装置100は、散乱線除去段20を往復運動させながら放射線検出器において被写体を透過した放射線を検出したときの放射線画像Pにし基準画像を用いてシェーディング補正やゲイン補正等を行う。例文帳に追加

An image processing device 100 performs a shading correction, a gain correction, or the like on the radiological image P at the time of detecting radiation transmitting through a subject by a radiation detector while reciprocating the scattered radiation removing means 20 by using a reference image. - 特許庁

伝動ケース19に一端部を回動自在に軸支するとともに他端部を畝成形体支持段23に回動自在に軸支し、伝動ケース19により耕耘ロータリ20を畝成形体22から独立して上下方向に回動可能とした一のリンク47を設けた。例文帳に追加

A pair of links 47 having one end part rotatably supported on the transmission case 19 and the other end part rotatably supported on a shaft on the means 23 for supporting the ridge forming body and capable of rotating the tilling rotary 20 in the vertical direction independently from the ridge forming body 20 by the transmission case 19 are installed. - 特許庁

砲弾型LED14を固定する固定段として、取付台15に立設され該LED14を収容する胴体部18aと、該胴体部18aの先端に設けられ、前記発光素子14を押さえる押え部18bと、からなる一の弾性フック19を用いる。例文帳に追加

A pair of elastic hooks 19 comprising a body part 18a erected in an attaching table 15 to store a shell type LED 14, and a pressing part 18b provided in a tip of the body part 18a to press the luminescent element 14 is used as a fixing means for fixing the LED 14. - 特許庁

プライミングローラ23の長方向の直上に沿って、ノズル10のスリット状吐出口を峙させた状態において、前記ノズルより前記ローラに薬液Rを吐出させて、前記ノズルとローラとのギャップ間に薬液が介在される着液ステップが実行される。例文帳に追加

In a liquid landing step, chemical R is discharged onto a priming roller 23 from a nozzle, while a slit-like discharge port of a nozzle 10 faces directly above the priming roller 23, along a longitudinal direction of the priming roller 23, to interpose the chemical in a gap between the nozzle 10 and the roller 23. - 特許庁

履歴データにより電流減少率にしてエラーチェックをかけることができ、又履歴データを参照することにより、突発的な断線といった早期の事故であるか否かなどを明確にし、加熱段の適切な管理を可能にする。例文帳に追加

Error checking is applied on the current reduction ratio based on the history data, and also, by referring to the history data, it is confirmed whether or not the failure is an early accident such as a sudden disconnection, and then, the heating means is appropriately controlled. - 特許庁

この表示パネル2であると、反射構造30と発光素子14とを位置あわせする必要がないので、1つの発光素子にして複数の反射構造30を配置して反射構造30を低くでき、また、製造する際に位置合わせする間を省いて歩留まりを向上できる。例文帳に追加

When the display panel 2 is used, since the aligning of the reflecting structure 30 and the light emitting elements 14 is not required, a plurality of reflecting structures 30 can be installed in one light emitting element, and since the aligning time is eliminated in manufacturing, a yield can be enhanced. - 特許庁

ベルト長方向に沿って心線を埋設し、圧縮ゴム層を配置した伝動ベルトであって、少なくとも圧縮ゴム層が新ゴム100質量部にして、架橋ゴムを粉末化した粉末ゴムを30〜100質量部と、可塑剤を5〜30質量部配合されている動力伝動用ベルト。例文帳に追加

A core wire is laid underground along the belt in the longitudinal direction and the belt is a belt for power transmission in which at least a compression rubber layer is arranged by blending 30-100 pts mass of the rubber powder in which a crosslinking rubber is pulverized and 5-30 pts mass of a plasticizer based on 100 pts mass of a new rubber. - 特許庁

下筐体11内の回路基板103のグランドパターンと上筐体12内の回路基板104のグランドパターンとを外付けアンテナ108と反側の長方向端部37において第1係合部15と第2係合部46とで電気的に接続する。例文帳に追加

A ground pattern of the circuit board 103 in the lower casing 11, and a ground pattern of the circuit board 104 in the upper casing 12 are electrically connected by a first engaging part 15 and a second engaging part 46, at an end part 37 in a longitudinal direction of a side opposite from an exterior antenna 108. - 特許庁

ルアーに係る本発明は、プラグ型のルアーであって、ボディ1の頭部近傍の下方に長方向Xにしてほぼ垂直な平面を有する気泡発生板2を突設し、この気泡発生板に分散された複数の貫通孔21,22〜25を穿設してなる。例文帳に追加

This lure is formed into a plug shape and structured so that a bubble forming plate 2 having a plane approximately perpendicular to the longitudinal direction X of the lure is protrusively positioned in a bottom area near a head part of a body 1 and a plurality of through holes 21, 22 to 25 are dispersedly bored into the bubble forming plate. - 特許庁

自在継に設けられたヨークのボス部にシャフトの端部が挿入された状態において、このボス部から外径方向に延びる一のフランジ部に付設されるボルト構造の締め付けによって、ボス部を縮径させて前記シャフトとヨークとを連結するための結合構造である。例文帳に追加

The connecting structure is for connecting a shaft and a yoke by fastening of a bolt structure attached to a pair of flange parts extending in a radial direction from a boss part in a state of inserting an end of the shaft into the boss part of the yoke provided in a universal joint, and reducing a diameter of the boss part. - 特許庁

実際の使用条件による使用湯量等の情報に基づいて加熱段への通電を制御し、実際の湯の使用実態に適合した湯の確保および電力料金形態や生活スタイルに応した湯の沸き上げを行う給湯器を提供する。例文帳に追加

To provide a hot water supply system controlling energization to a heating means based on information of a use of hot water under an actual use condition, securing hot water conforming to the actual use of hot water, and boiling hot water corresponding to a power rate scheme and a life style. - 特許庁

保持穴10を、少なくともローラ部材4の外形に応して、若干大きめの寸法とし、隅角部10eを、ローラ部材4の長方向端面側の隅部に比較して大きな曲率半径の円弧状にえぐり加工する。例文帳に追加

The retaining bore 10 is dimensioned slightly larger at least than the roller member 4 corresponding to an external form thereof, and a corner angle part 10e is machined to be rounded out in an arc-shape with a larger radius of curvature as compared with the corner part of the end face side in longitudinal direction of the roller member 4. - 特許庁

印刷幅(L)におけるピックアップローラとウェブピックアップローラ(16)との間の実際の距離を評価することができかつ/又は2つの軸線(X−X,Y−Y)の互いにする角変位を検出して該角変位を表す出力信号を提供することができる検出段(28)を有する。例文帳に追加

The method enables the evaluation of the actual distance between the pickup roller of the printing width (L) and the web pickup roller (16) is and/or equipped with the detection means (28) which detects an angular displacement of the two axes (X-X, Y-Y) against each other and offers the output signal to represent the angular displacement. - 特許庁

制御段103は、予測到達時間についての情報提示開始位置308と、危険象302の位置との間を複数の区間303,304,305に分割し、区間303,304,305において予測到達時間を表す表示形態の変化速度を決定する。例文帳に追加

The control means 103 divides a distance between an information presentation start position 308 for the predicted arrival time and the hazardous object 302 into a plurality of sections 303, 304, 305, and determines change speed of the display form indicating the predicted arrival time in the sections 303, 304, 305. - 特許庁

可倒式スイッチ22は、キーロック用スイッチであり、電源がオフしている状態で前方向に一定時間押し続けるとコンソールスイッチの入力が禁止され、反方向に一定時間押し続けるとコンソールスイッチの入力が許可される。例文帳に追加

The tiltable switch 22 is a switch for key lock, and input of a console switch is inhibited, when it is kept pushed forward for a certain time with the power turned off, and input of the console switch is inhibited, when it is kept pushed in the opposite direction for the certain time period. - 特許庁

前記第1のコンタクト端子11は相側コンタクトと接続する嵌合部11aと、接続象物と接続する実装端子部11bと、前記嵌合部11aと前記実装端子部11bとを接続するとともに、弾性変位するバネ部11cとを備えている。例文帳に追加

The first contact terminal 11 comprises an engagement part 11a for connecting to a mating contact, a mounting terminal part 11b for connecting to the connecting object, and a spring part 11c which connects the engagement part 11a and the mounting part 11b and displaces elastically. - 特許庁

また、ブリッジ部25は、従来のようなケーブル本体23と支持線24との共通中心線L1上ではなく、取り付け相としての壁や柱などの被取り付け面にして平行になる、ケーブル本体23と支持線24との共通接線L2に沿って配置形成される。例文帳に追加

The bridge part 25 is not formed on a common center line L1 of the cable body 23 and the support wire 24 like a conventional one, but disposed and formed along a common tangent line L2 of the cable body 23 and the support wire 24 in parallel with a mounting surface such as a wall or a post used as a mounting counterpart. - 特許庁

注出口栓が取着された液体用紙容器の使用後の分別回収において、容器内側に突出する円筒状開封刃でなどに怪我をする危惧のない製造物責任(PL)法応の安全性に優れた注出口栓の提供にある。例文帳に追加

To provide a spout stopper which is excellent in safety and meets the requirements of a Product Liability Law with a safeness ensured for a cylindrical unsealing edge projecting toward the inside of a container so that hands or the like are not injured therewith when a liquid paper container with the spout stopper attached thereto is disposed after use for assortment recycling. - 特許庁

演算 段23a−2が、収集した開放電圧にして予め定めた複数の期間の各期間内の開放電圧に基づいて近似された、べき数が−0.5となるか、又は、略−0.5となる累乗近似式が漸近する電圧値を各期間の想定開回路電圧として求める。例文帳に追加

With respect to the collected voltages, a computing means 23a-2 finds voltage values each of which is approximated based on the open-circuit voltage within each period of a plurality of predetermined periods, and to which an approximate exponential expression with an exponent of -0.5 or approximating to -0.5 is asymptotic as an assumed open-circuit voltage for each period. - 特許庁

鋏式シート切断装置1では、可動刃3が回動して固定刃2にする交差摺動位置Cが先端側に向けて所定量だけ移動する間は、根元側付勢段の圧縮コイルばね42の付勢力のみで可動刃3が固定刃2に押し付けられる。例文帳に追加

The scissor type sheet cutting device 1 is configured so that the movable blade 3 is pressed to the stationary blade 2 only with the energizing force of a compression coil spring 42 of a root part energizing means during the period in which the movable blade 3 rotates and the crossing sliding position C to the stationary blade 2 shifts for a prescribed amount toward the tip. - 特許庁

例文

ワークテーブル等の天板の長さを長いものとした場合に、これまでのテーブルと外観上はほとんど変わることがないが、長方向、及びそれと直交する方向のどちらの方向のたわみ等にしても充分な強度を有するようにした天板の補強構造を提供する。例文帳に追加

To provide a reinforcing structure of a top board that gives a sufficient strength against a flexure or the like in the longitudinal direction or perpendicular direction thereto, though the appearance is hardly different, when the length of the top board of a worktable or the like is increased. - 特許庁

索引トップ用語の索引



  
Copyright © Japan Patent office. All Rights Reserved.
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する
英→日 日→英
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する

©2024 GRAS Group, Inc.RSS