1016万例文収録!

「決め」に関連した英語例文の一覧と使い方(753ページ目) - Weblio英語例文検索


小窓モード

プレミアム

ログイン
設定

設定


セーフサーチ:オン

不適切な検索結果を除外する

不適切な検索結果を除外しない

セーフサーチについて

決めを含む例文一覧と使い方

該当件数 : 37757



例文

そのような装着は、隣接する先端シュラウドに近接してシュラウド先端シュラウドを位置決めしかつ該先端シュラウドの位置の周りでスイング軸方向挿入バケットの根元端部を枢動させて、バケットによって形成された円弧によりスイング軸方向挿入雄形ダブテール突出部の曲率がロータホイールのスイング軸方向挿入雌形ダブテールスロット内に揺動するのが可能になるようにすることによって行うことができる。例文帳に追加

Such loading can be provided by locating the shroud tip shroud in proximity to an adjacent tip shroud and pivoting the root end of the swing axial-entry bucket around the location of the tip shroud such that the arc formed by the bucket allows the curvature of the swing axial-entry male dovetail projection to swing into the swing axial-entry female dovetail slot of the rotor wheel. - 特許庁

本発明のマルチビーム光源装置は、複数の半導体レーザ101,102と、該半導体レーザと対で設けられ各々の光ビームを平行光束にする複数のコリメートレンズ104,105と、複数の半導体レーザとコリメートレンズとを主走査方向に配列してこれらを一体的に支持する支持部材103とを有する光源部を備え、上記半導体レーザ間隔Dとコリメートレンズ間隔dとにD/d>1なる関係があると共に、上記光源部を射出軸aを回転軸とした回転方向に位置決め可能に支持してなる構成とした。例文帳に追加

The multibeam light source apparatus is provided with a light source part having a plurality of semiconductor lasers 101 and 102, a plurality of collimate lenses 104 and 105 which are furnished as pairs with the semiconductor lasers and turn respective light beams into parallel luminous fluxes, and a supporting member 103 which arranges the plurality of semiconductor lasers and the collimator lenses in a main scanning direction and supports as a unit. - 特許庁

一方の取付台DPには位置決めのための基準点を中心とする円上に複数個それぞれが少なくとも上方に球面体2を有する球面付の凸形係合部1を設けるとともに、保持板T側にはその取付面側に前記円と同一の円を中心線として形成され、前記球面体2に係合する断面三角形の環状溝4と、この溝4の中心線上で前記各凸形係合部1がそれぞれ嵌挿し得る複数個の係合凹部3が穿設され構成されている。例文帳に追加

To provide a device having simple constitution, and for mounting a holding plate easily positioned in an attaching block. - 特許庁

商品・サービス提供者100により保有され、かつ一般的契約条項が含まれる基本フォームに対して、提供者100の代理店210が、顧客310との事前の商談により取り決めた顧客ごとの個別取引条項を入力して中間契約書F’を作成し、その後、この中間契約書F’をインターネット通信網400を介して顧客310に送信し、顧客310は自己が受信した中間契約書F’の内容を承認した後に代理店210及び提供者100に送信することをもって契約締結の条件とする。例文帳に追加

Then, the interim contract F' is sent to the customer through the Internet communication network 4, and the customer 310 approves the contents of the received interim contract F' and sends that to the agency 210 and the provider 100, thereby concluding the contract. - 特許庁

例文

読み取り/書き込み装置と、複数の非接触通信可能な携帯可能情報処理装置との間の通信方法において、アンチコリージョン時に各携帯可能情報処理装置の識別情報とともに、応答タイミング或いは応答の順番を決定し、読み取り/書き込み装置からの命令に対して決められた各自の応答タイミング或いは順番で各携帯可能情報処理装置が応答を返すようにしたものである。例文帳に追加

In this communication method between the reader/writer and the plurality of portable information processors capable of non-contact communication, response timing or a response order is decided together with the identification information of each portable information processor in an anti-collision mode, and the each portable information processor returns a response to an instruction from the reader/writer in its own decided response timing or order. - 特許庁


例文

部品仕分け装置15は、部品70及びパレタイジングロボット20を画像表示し、画像表示された部品70に対する吸着位置を、オペレータからの指示により部品・ロボット情報BRJとして演算し、この部品・ロボット情報BRJ、シートネスティング情報SNJ、パレットネスティング情報PNJに基づき、前記ロボット20の移動・位置決め指令FRnを作成するようになっている。例文帳に追加

A component sorting device 15 gives image displaying of a component 70 and a palletizing robot 20, calculates the sucking position for the image displayed component 70 as the component and robot information BRJ in conformity to the instruction from the operator, and prepares the motion and positioning command FRn for the robot 20 on the basis of the component and robot information BRJ, sheet nesting information SNJ, and pallet nesting information PNJ. - 特許庁

切り替え可能なコンピュータシステム(10)は、基部部材(14)に結合されて基部部材(14)に対してラップトップモード又はタブレットモードのいずれかで位置決めされる表示部材(12)と、表示部材(12)の表示要素(30)により表示される画像の向きを、表示要素(30)が基部部材(14)に対して再配置されることに応答して、自動的に変更するように構成されている非接触センサシステム(40)とを備える。例文帳に追加

A switchable computer system 10 comprises the displaying material which is connected to the base material 14 and determined in either the lap mode or tablet mode against the base material 14, and a non contact sensor system 40 constructed to automatically change the aspect of the image displayed by the display element 30 of the displaying material 12 by responding to rearrangement of the display element 30 against the base material 14. - 特許庁

インキチャンバードクター19は、インキチャンバードクター19の中央平面とアニロックスローラー11の軸線の両方を含む平面内で、アニロックスローラー11に位置決めされるまで、少なくともアニロックスローラー11に面したガイド14,43の端領域内で摺動することができその上、インキチャンバードクター19をアニロックスローラー11に押付けるための、及び/又はインキチャンバードクター19をアニロックスローラー11に保持するための手段を有する。例文帳に追加

The doctor 19 can slid in end regions of the guides 14, 43 opposed at least an anilox roller 11, until the doctor 19 is positioned at the roller 11 in a plane including both the central lane of the doctor 19 and an axis of the roller 11, and has a means for pressing the doctor 19 to the roller 11 and/or holding the doctor 19 at the roller 11. - 特許庁

シリンダ調整を行う走査光学装置において、シリンドリカルレンズ光軸方向前後に光学箱に一体に形成されたシリンドリカルレンズ突き当て面を設け、この突き当て面のどちらかにシリンドリカルレンズが突き当たった状態で、または突き当て面の間の所定の位置を含んだ状態でシリンドリカルレンズの所定の3箇所の位置を構成し、この3箇所からシリンドリカルレンズの位置を決めることを特徴とする走査光学装置。例文帳に追加

The scanning optical device which performs the cylinder adjustment is provided with cylindrical lens abutting surfaces, formed integrally with an optical box, along the optical axis of a cylindrical lens; and three specific positions of the cylindrical lens are constituted while the cylindrical lens is abutting against one abutting surface or including a specific position between the abutting surfaces and the position of the cylindrical lens is determined among the three positions. - 特許庁

例文

この管状フレーム1に複数のチェンソー2を水平に取付け、ガイドバー3の中央に台形状の突起4を設けチェンソー2を樹表から一定隙間離し、ガイドバー3を樹表に一定力で押付けながら樹芯をチェンソー2の配置で形成される多角形状の閉塞空間の中心に位置決めできるガイドバー押付け装置5と、チェンソー回転駆動用油圧モータ6及びガイドバー押付け駆動用油圧回転シリンダー7と、遠隔制御される制御装置8と、エンジンによる発電式動力源9を設け、これらをオペレータが地上に設けた遠隔制御装置のモニターを確認しながら操作する。例文帳に追加

An operator manipulates the branch-trimmer thus structured while confirming a monitor of the remote-controlled controller located on the ground. - 特許庁

例文

下に横たわる外科処置場所へのアクセスを容易にするように経皮組織の管に位置決めするための外科アクセス装置であって、該外科アクセス装置は、外科器具の受容に適する内部寸法を画定する長手方向の開口を含むアクセス部材であって、該アクセス部材はその上に装着される、近位端および遠位端を有するスレッドを有し、該スレッドは該アクセス部材に対して移動可能である、アクセス部材を備える、外科アクセス装置。例文帳に追加

The surgical access apparatus for positioning within a percutaneous tissue tract to facilitate access to an underlying surgical worksite, includes:an access member including a longitudinal opening defining an internal dimension suitable for reception of a surgical instrument, the access member having mounted thereon a thread with proximal and distal ends, the thread being movable relative to the access member. - 特許庁

ISDN、PHS網、インターネットに接続されている端末装置へ着信情報を通知するとき、どのように、そしていかなる着信情報を通知するかを決める着信情報通知実行条件を着信情報管理部13に予め設定し、この設定された着信情報通知実行条件に基づいて、着信情報通知実行管理部14は、着信情報を着信文字情報に変換し、この着信文字情報をSETUPメッセージに挿入して送信する。例文帳に追加

An incoming information notification execution condition with which how and what incoming information is to be notified in the case of notifying a terminal device connected to ISDN, a PHS network and the Internet of the incoming information is preliminarily set to an incoming information managing part 13, and an incoming information notification execution managing part 14 converts the incoming information into incoming character information, inserts the incoming character information into a setup message and transmits it. - 特許庁

また、経時的な変化(ベルト86の弾力性の低下やローラの位置決め状態の変化等)があった場合、作業中に蛇行が発生することがあるが、この場合、ローラ204、206、208の軸線方向両端部にテーパ形状の補助ローラ226を、母体となるローラ204、206、208に対して相対回転可能に取り付けているため、蛇行によって補助ローラ226に乗り上がったベルト86の迅速に元の位置に戻すことができる。例文帳に追加

Although such aging effects as a deterioration in elasticity of the belt 86 and a change in position of the rollers can cause the belt 86 to meander in its operation, a relatively rotatable fit of auxiliary taper rollers 226 to both axial ends of base rollers 204, 206 and 208 can return the belt 86 meandering over the auxiliary rollers 226 quickly to its proper position. - 特許庁

HDDを記録手段として用い、入力ストリームを構成する各パケットにタイムスタンプを付加して記録し、タイムスタンプに基づいて再生時のパケット出力タイミングを決めるデジタル放送記録再生装置において、制御手段の処理負荷が大きくなり、録画した番組を再生する場合のHDDからのストリーム読み出し処理が所定のタイミングより送れた場合であってもストリーム再生が途切れることが無いようにする。例文帳に追加

To prevent stream reproduction from being interrupted even if a processing load of a control means becomes greater and stream read processing from an HDD is delayed from a predetermined timing in reproducing a recorded program in a digital broadcast recording and reproducing apparatus which uses the HDD as a recording means, records packets constituting an input stream while adding time stamps thereto and determines the timing of packet output in the reproduction based on the time stamps. - 特許庁

本発明のさまざまな実施形態による少なくとも1つの装置およびシステムは、患者の身体内で位置決めを行うように適合されているクランプ部と、整形外科用ケーブルをクランプ部に固定し、整形外科用ケーブルに第1の張力を発生させ、整形外科用ケーブルの張力を解放し、整形外科用ケーブル内の他の張力を固定するためクランプ部に対して整形外科用ケーブルを再固定するように適合されているクランプ機構とを備える。例文帳に追加

At least one apparatus and system include: a clamping body adapted to positioning within a patient's body; and a clamping mechanism adapted to securing an orthopedic cable to the clamping body, creating a first tension in the orthopedic cable, releasing the tension in the orthopedic cable, and re-securing the orthopedic cable relative to the clamping body to secure another tension in the orthopedic cable. - 特許庁

稠密に配置された第1のマイクロレンズアレイと第1のマイクロレンズアレイに対して所定位置に位置決めされた第2のマイクロレンズアレイを有し、第1マイクロレンズアレイに所定の角度で入射した光線が、第2マイクロレンズアレイを出射する際、前記入射角度より小さい角度で出射する2層構成のマイクロレンズ素子を用いることで光の利用効率が向上し、正面輝度が向上した。例文帳に追加

By using a two-layer constitution microlens element provided with a densely-arranged 1st microlens array and a 2nd microlens array positioned at a prescribed position to the 1st microlens array, and constituted so that light made incident on the 1st microlens array at a prescribed angle is emitted from the 2nd microlens array at an angle smaller than the incident angle, the light utilization efficiency is improved and the front luminance is improved. - 特許庁

オーディオシステムは、前記第1の乗員位置の前方且つ前記第2の乗員位置の後方に位置決めされており、第1の乗員位置に向かう方向が低強度の放射方向及び高強度の放射方向のうち一の放射方向とされ、且つ、第2の乗車位置に向かう方向がその他方とされるように、第1のオーディオチャンネルを指向的に放射する構成及び配置とされている第1の指向性ラウドスピーカーを含んでいる。例文帳に追加

The audio system includes a first directional loudspeaker positioned ahead of the first passenger position and in back of the second passenger position, constructed and arranged to radiate directionally a first audio channel so that a direction toward the first passenger position is one of a low radiation direction and a high radiation direction and so that a direction toward the second passenger position is the other of a low radiation direction and a high radiation direction. - 特許庁

トレーサ粒子を撮像し、その粒子の移動距離から、速度を計測する計測方法において、あらかじめ決められた画素の集合に属するトレーサ粒子を見出すステップと、そのトレーサ粒子のそれぞれの位置の変化から、それぞれの速度ベクトルを求めるステップと、前記それぞれの速度ベクトルから、前記の集合を代表する速度ベクトルを求めるステップと、その集合を代表する速度ベクトルと画素の集合を代表する位置との対応づけを行うステップとを備える方法とする。例文帳に追加

A measurement method for measuring a velocity by imaging tracer particles and using a moving distance of particles comprises a step for finding tracer particles belonging to a predetermined pixel assembly, a step for obtaining individual velocity vector using an individual position variation of the tracer particles, a step for obtaining a velocity vector representing the assembly, and a step for allotting the velocity vector representing the assembly to the position representing the pixel assembly. - 特許庁

パッケージ・マウント面に設けたペレットおよび/あるいはチップ間において配線をなすディスクリートマイクロ波装置の配線構造において、予め、メタライズ・パターンにより、所要の配線構造を透明な高分子フィルムに形成し、前記ペレットあるいはチップ間で、前記メタライズ・パターンにより、それらの接続端子間を電気的に導通するように、前記高分子フィルムを位置決めして、前記パッケージ・マウント面に装着したことを特徴とする。例文帳に追加

In the wiring structure of the discrete microwave device where wiring is made between pellets that are provided on a package mount surface and/or chips, desired wiring structure is formed by a metallized pattern in a transparent macromolecular film in advance, and the macromolecular film is positioned by the metallized pattern between the pellets or chips so that the connection terminals have an electric continuity and is fitted on to the package mount surface. - 特許庁

個別のカバレージ範囲をそれぞれ有する少なくとも2つのセンサ410,420と、前記少なくとも2つのセンサの合計カバレージ範囲を決めるための少なくとも2つの所定の設定と、前記少なくとも2つのセンサの前記合計カバレージ範囲を調整するために、設置者が前記少なくとも2つの所定の設定の中から最適な所定の設定を選択することを可能にする選択可能な調整機構400とを備える侵入検知システム。例文帳に追加

The intrusion detection system includes at least two sensors 410, 420 each having an individual range of coverage, at least two predetermined settings for determining a collective coverage range of the at least two sensors, and a selectable adjustment mechanism 400 for allowing an installer to select an optimal predetermined setting from the at least two predetermined settings for regulating the collective coverage range of the at least two sensors. - 特許庁

また、上記方法は、サンプリングされる組織の中に組織受容ポートを位置決めする工程と、上記生検装置からカッターを取り外す工程と、その生検装置からカッターを取り外した後に、その生検装置の組織受容ポートに付随している生検部位を画像化する工程と、上記生検装置の中にカッターを挿入する工程と、そのカッターにより上記組織受容ポートの中に受容されている組織を切断する工程と、を含むことができる。例文帳に追加

Also, the method can include the steps of positioning a tissue receiving port in the tissue to be sampled; removing the cutter from the biopsy device; imaging a biopsy site associated with the tissue receiving port of the biopsy device after removing the cutter from the biopsy device; inserting the cutter into the biopsy device; and severing tissue received in the tissue receiving port with the cutter. - 特許庁

天面部材が天面部に蓋部と係合され、記録媒体係合部材が基部に係合された状態のとき販売用記録媒体ケースとり、さらに、天面部材が蓋部に配した溝により、蓋部の底面付近まで移動遊合し、記録媒体係合部材を基部より開放し天面部材に係合された状態で基部と蓋部を閉じた時、ケース内部に内ケースを収納できる空間を持つ構造となり、蓋部に配された、いく種類もの天面部材位置決め位置することによって、いく種類もの内ケースに対応する高さ出し位置を持つレンタル用記録媒体ケースとなる。例文帳に追加

By locating a plurality of kinds of top face members, the recording medium case for rental having height positions corresponding to a plurality of kinds of inner cases is provided. - 特許庁

外部駆動源により駆動される第1圧縮機構と内蔵駆動源により駆動される第2圧縮機構とが独立に運転可能に一体的に組み込まれたハイブリッド型圧縮機であって、外部駆動源と第1圧縮機構との間の実際のドライブレシオを従来のドライブレシオよりも大きく設定するとともに、第1圧縮機構の最高回転数を機械的に決められる所定の許容回転数以下とし、かつ、第1圧縮機構の容積を従来の容積よりも小さく設定したことを特徴とするハイブリッド型圧縮機。例文帳に追加

By setting the actual drive ratio between the external drive source and a first compression mechanism as compared with a conventional drive ratio, the maximum speed of the first compression mechanism is set to be a prescribed allowable speed that is determined mechanically or smaller, and the volume of the first compression mechanism is set smaller than the conventional volume. - 特許庁

そして、ヘッド本体11の開口部に複合部材12を接合することにより、ソール部を平面に接触させ、ソール部の表面と前記平面との間の隙間がトウ側とヒール側とで等しくなるようにライ角を決めた状態で、ホーゼル部の中心軸を含み前記平面に対して垂直な面に平行で相互に等間隔の4面により3分割したときに、フェイス部側の分割部分が最も重く、中央の分割部分が最も軽いゴルフクラブヘッドにする。例文帳に追加

When the golf club head is divided into three at equal intervals by four planes parallel with a plane vertical to the flat surface and including the center axis of a hosel portion, a divided part on a face portion side has the heaviest weight and a divided part at the center has the lightest weight. - 特許庁

下面を開放した垂木20の基板21上面に位置決め用一対の起立リブ26を形成する一方,垂木カバー40の基板41下面に垂下リブ43を形成し,垂木20の両側面に屋根パネル60を載置した状態で,垂木カバー40の垂下リブ43を上記垂木20の起立リブ26間の被嵌溝28に嵌合した上,垂木20の基板21の下面からタッピングネジ50を垂木カバー40の垂下リブ43間のネジ溝44に螺入して,垂木カバー40を垂木20側に引寄せ固定し,屋根パネル60を挟持固定する。例文帳に追加

A pair of positioning rising ribs 26 are formed on the upper face of a base 21 of a rafter 20 whose lower face is open, while a suspended rib 43 is formed on the lower face of a base 41 of a rafter cover 40. - 特許庁

半導体チップと、該半導体チップを搭載する樹脂基板1と、該樹脂基板1側面および裏面に形成され前記半導体チップに電気的に接続されたメタル層2と、前記半導体チップを封止する樹脂パッケージ3とを備え、前記樹脂基板1のメタル層が形成された側面または裏面を実装面とする面実装型半導体装置において、前記実装面の角部に位置決め用凹部9を設けたことを特徴とする。例文帳に追加

A surface packaged semiconductor device provided with a semiconductor chip, a resin substrate 1 loaded with the semiconductor chip, a metal layer 2 electrically connected to the semiconductor chip, a resin package 3 sealing the semiconductor chip while assuming the side or backside to be a package surface is featured by arranging a recess 9 for positional alignment on the corner part of the package surface. - 特許庁

鉄および/またはアルミニウムを含む少なくとも一対の金属電極を保持および固定し、該金属電極を排水中に浸漬させる合成樹脂製の電極保持具であって、該電極保持具の内部に、前記金属電極に電解用電流を供給する給電用ケーブルの一端から当該金属電極に通電させる金属部材が配置されるとともに、外壁に前記金属電極を位置決めする突起が設けられている。例文帳に追加

In an electrode holder made of a synthetic resin for holding and fixing at least a pair of metal electrodes containing iron and/or aluminum and immersing them in waste water, a metal member for supplying power to the metal electrodes from one end of a power supply cable for supplying an electrolytic current to the metal electrodes is arranged and the projection for positioning the metal electrodes is provided on an outer wall. - 特許庁

簡単に説明すると、そのような方法は、ドロワ114内に配置された複数のデータ媒体102のうちの1つに関連した情報を受け取るステップと、複数のデータ媒体102のうちの1つに関連した情報に基づいて、データ記憶システム100の開口に相対的な、対応する所定位置を決定するステップと、ドロワ114をデータ記憶システム100の開口に相対的な所定位置に位置決めするステップとを含む。例文帳に追加

This method includes a step of receiving information related to one of a plurality of data media 102 disposed in the drawer 114, a step of deciding a corresponding designated position relative to an opening of the data storage system 100, and a step of positioning the drawer 114 in a relative designated position in an opening of the data storage system 100. - 特許庁

また、速度の速い水流から動力を得るために使用される新規の誘導型発電機と、関連する海底に配置された水中投錨システムに抗してシステムに張力を与えるための浮揚タンクと、システムの精度制御及び連続的な調整機能に役立つ複数のサブ・チャンバを備え、流体を充填したバラスト・チャンバとについて詳細な説明をすることによって、システムの配置、位置決め、保守、制御、及び運転をするための方法と手段も提供される。例文帳に追加

Methods and means for deploying, positioning, maintaining, controlling and operating the system are also provided by a detailed explanation on the ballast chambers filled with fluid. - 特許庁

取付部1に水栓本体3を取り付けるための取付台座10であって、取付ベース12には第1ボルト14、第2ボルト16及び第3ボルト18の3本のボルトが取り付けられ、狭持具24は、第1ボルト14及び第2ボルト16が螺入された固定片20と、第3ボルト18が螺入され、第3ボルト18と共に回動して固定片20とで取付孔2に挿通可能な状態又は挿通不能な状態を形成する可動片22とからなり、可動片22は、第1ボルト14、前記第2ボルト16又は固定片20に当接することにより回動が規制されて取付孔2に挿通不能な状態に位置決めされるように設けた。例文帳に追加

In the mounting pedestal 10, the movable piece 22 is secured so as to be positioned under the state in which a rotation is controlled and the movable piece cannot be inserted into the mounting hole 2 by abutting against the first bolt 14, the second bolt 16 or the fixing piece 20. - 特許庁

加硫ゴムと発泡ポリウレタンとを組合せた靴底であつて、加硫ゴム底部品として、布帛を上面層、発泡ゴムを中間層、加硫ゴム底部品の基体となる加硫ゴムを下面層と成す3層が一体的に形成されていることを特徴とする加硫ゴム底部品となし、前記加硫ゴム底部品を型組した靴底成形モールドの所定個所に布帛側をキャビティ内に臨ませて位置決めした後、このキャビティ内に液状ポリウレタンを注入し発泡硬化させる耐屈曲性に優れた靴の製法を提供する。例文帳に追加

This shoemaking method with superior elasticity is so formed that, after positioning the cloth side facing to the cavity inside in predetermined parts of the sole forming mold formed by setting the vulcanized rubber sole component therein, liquid polyurethane fills the cavity, is foamed and hardened. - 特許庁

車両位置決めモジュールを利用して車両の現在位置情報を計算し、且つマイクロプロセッサを利用して前述の現在位置情報をキャプチャし並びにメモリ装置をサーチして現在位置情報が属する地理エリアに対応する局の受信周波数をサーチし、これにより車用音響装置の周波数セレクタを制御してサーチした受信周波数で無線受信を行い、並びに少なくとも一つのスピーカで放送する。例文帳に追加

A vehicle positioning module is utilized to compute the present location information of a motor vehicle, a microprocessor is utilized to capture the present location information, and a memory device is searched to find out a receiving frequency of a station corresponding to a geographic zone to which the present location information belongs. - 特許庁

所要輪郭形状で軸状の支持体の外面に所要数の突起棒が突設され、電線など架設体へ被着部が配設される鳥害防止体において、支持体2は所要長の一対の半割軸体から成り、その軸心に半円状の挟持孔が全長に渡って開口され、該挟持孔の内面要所に位置決め用の小突起が周設され、前記被着部が半割軸体の半割面に、その長手方向中央で前後対称に突起部と該突起部に嵌着する溝部とが配設される鳥害防止体1である。例文帳に追加

The bird injury-preventing body 1 is protrudingly installed with a required number of protrusion rods on the outer surface of a shaft-like supporter having a required outline shape and disposed with a unit to be adhered to the installation bodies such as electric cables. - 特許庁

平坦化フィルタ3a−3eを取り付け、モータ4から減速機構を介して駆動される基台6が所定位置に達すると作動する第1の電気的検出スイッチ8、モータ4と同一回転速度で回転するプレート12が所定位置に達すると作動する第2の電気的検出スイッチ13とを設け、第1の電気的検出スイッチ8および第2の電気的検出スイッチ13の双方が作動した位置で平坦化フィルタの位置決めを行う。例文帳に追加

The first electric detecting switch 8 and the second electric detecting switch 13 are provided, and a positioning of the flattened films is performed at the location wherein both of the first electric detecting switch 8 and the second electric detecting switch 13 operate. - 特許庁

通常上位装置に標準として組込まれているマウスドライバを利用し、予め決めた拡張コマンドを送受信することによりタッチパネルドライバが動作可能状態かどうかを判定し、この判定結果に基づきタッチパネルが動作可能状態でないと判定した場合はタッチパネル装置からの座標をマウスドライバ用の座標データに変換し上位装置に送信し、或いは、マウスからのデータをそのまま上位装置に送信する。例文帳に追加

When it is decided according to the decision result that the touch panel is not operable, coordinates from the touch panel device are converted into coordinate data for the mouse driver and sent to the host device or data from a mouse are transmitted to the host device as they are. - 特許庁

電子または電子ビームの発生タイミングが揺らぐ場合でも、電子ビームとレーザ光の実衝突点を予定衝突点またはその近傍に精度よく位置決めすることができ、これにより、X線の発生出力を高め、かつX線の仮想焦点の変動を防止して、これを用いて撮像した像の解像度を高めることができる電子ビームとレーザ光の衝突タイミング調整装置および方法を提供する。例文帳に追加

To provide a collision timing regulation device and method of electron beams and laser light capable of accurately positioning a collision point of the electron beams and the laser light at a scheduled collision point or its vicinity even in case generation timing of electron or electron beams sways, and thereby heightening a generation output of X rays, preventing fluctuation of virtual focal points of the X rays, and improving resolution of images thus obtained. - 特許庁

断裁位置Pに向けて搬送されてくる刷本101を断裁位置Pに位置決めするとともに、刷本101の厚さ方向に移動可能な断裁刃により刷本101の所定箇所を断裁して刷本101を所定寸法に仕上げるようにした製本の断裁装置において、刷本101を断裁する前に刷本101の厚さを検出する厚さ検出手段10を設け、この厚さ検出手段10による検出値が所定範囲外である場合には断裁刃を駆動しないように構成されたことを特徴とする。例文帳に追加

This cutter is provided with a thickness detecting means 10 for detecting the thickness of the printed sheets 101 before the printed sheets 101 are cut, and when the detection value of the thickness detecting means 10 is out of a designated range, the cutting blade is not driven. - 特許庁

薄い鋼板からなるアクチュエータスプリング8と、このアクチュエータスプリング8に接合する厚い鋼板から成るベースプレート9でファインアクチュエータ部6を構成することにより、アクチュエータスプリング8に設けられた駆動バネ部23の可撓性、つまり、磁気ヘッド支持部5の十分な位置決め精度とストロークを確保したまま、ファインアクチュエータ部6の垂直方向の剛性を向上させる。例文帳に追加

A fine actuator part 6 consists of an actuator spring 8 consisting of a thin steel board and a base plate 9 consisting of a thick steel board to be connected with this spring 8, thereby the vertical rigidity of the part 6 is improved while securing the flexibility of a driving spring part 23 provided at the spring 8, that is, the sufficient positioning precision and stroke of a magnetic head supporting part 5. - 特許庁

感光材料であるロールペーパーに露光してプリントを作成する方法において、注文ブロックごとに複数のプリントサイズとその数量をまとめて入力し、入力した情報に基づき前記注文ブロックごとに同一幅のロールペーパーに各画像のプリントレイアウトを決め、前記各画像の画像処理を行い、前記注文ブロックごとにまとめてプリントすることを特徴とするプリント作成方法。例文帳に追加

The method of creating the print by exposing the rolled paper of a photosensitive material includes the steps of collectively inputting a plurality of print sizes and the quantity of them for every order block, determining the print layout of each image on the rolled paper of identical width for every order block based on the input information, performing the image processing of each image, and collectively printing for every order block. - 特許庁

組織の貫通および下にある解剖学的構造体を観察する視覚型ベレス針アセンブリであって、中空の内部および組織貫通用の遠位の先端部を有する本体部分を有している光伝導性のベレス針と、スタイレットであって、該スタイレットは、該べレス針の該本体部分の該中空の内部を通って位置決め可能であり、該スタイレットの中に組み込まれている画像伝送用構造体を含む本体部分を有している、スタイレットとを備えている、視覚型ベレス針アセンブリ。例文帳に追加

The visual veress needle assembly for viewing penetration of tissue and underlying anatomical structures comprises: an optically conducting veress needle having a body portion with a hollow interior and a tissue penetrating distal tip; and a stylet, wherein the stylet is positionable through the hollow interior of the body portion of the veress needle, and has a body portion including image transmitting structure incorporated in the stylet. - 特許庁

本願発明のハードコピーシステムは、局在化された電界に敏感な双安定性のエレクトロクロミック着色剤を有する書換え可能媒体と、媒体に関連付けられ、局在化された電界を生成する電極サブシステムであって、電界が印刷されるべきデータに関連付けられている電極サブシステムと、電極サブシステムに固定され、媒体上でデータを位置決めするための走査ナビゲーションサブシステムとを含むことを特徴とする。例文帳に追加

The hard-copy system includes a reloadable medium which has bistable electrochromic coloring agents sensitive to a localized electric field, an electrode sub-system which is related to the medium, forms the localized electric field and is related to the data to be printed with the electric field, and a scanning navigation sub-system which is fixed to the electrode sub-system and acts to position the data on the medium. - 特許庁

超小型電機システム(MEMS)センサダイ28の取付け装置10であって、ほぼ平面状でしかも相互に直交方向に向いたダイ取付け面平面30及びインターフェース面22を備えたブラケット14と;ダイ取付け面平面部とインターフェース面との間に結合し、電力、接地及び動作信号を搬送する電気信号キャリアと;インターフェース面上に位置決めし、印刷回路ボード(PCB)に直接取付けできるようにされた電気的インターフェースパッド24と、を含む。例文帳に追加

An attaching device 10 for an MEMS sensor die 28 includes a bracket provided with a die attaching face plane 30 and an interface plane 22 substantially planar and directed orthogonally each other, an electric signal carrier coupled between a die attaching face plane part and the interface plane and for carrying electric, grounding and operation signals, and an electric interface pad 24 attached directly to a printed circuit board PCB. - 特許庁

軸部20には、軸部の前側で、支持孔41の内面と係合して刃部11の角度を軸回りに回転不可に決めるとともに、掘削時にかかる力が作用する回り止め部21と、回り止め部21の後側で、支持孔41の内面に係合して軸部20を軸方向へ移動不可とするとともに、変形して支持孔41の内面への係合を解除して軸部20を軸方向へ移動可能とする抜け止め部30と、抜け止め部30の後側で、支持孔41の内面と当接して掘削時にかかる力が作用する後端部22とを備え抜け止め部30を変形させて軸部20の軸方向への移動を規制することができる。例文帳に追加

The anti-coming-off part 30 is deformed so that the axial movement of the shaft part 20 can be regulated. - 特許庁

この発明の課題は平板状の冶具基盤と、この冶具基盤上に仮に設定された四辺形の四隅のいずれかに位置して形成された少なく2個の支柱と、前記四辺形と支柱の外側に位置して前記四辺形の各辺に平行に形成された少なくとも2個の壁とからなるようにし、これによって作業者に左右されずに位置決めが容易で常に同じ場所に接着シートを貼ることが、張り付け時間も短縮でき又手直し等の作業も生じることもなくなるバッテリケース組立冶具を提供するものである。例文帳に追加

To provide a battery case assembling jig, offering easy positioning, independently of workers allowing the pasting of an adhesive sheet on the same position at all times in a short time, without requiring reworks. - 特許庁

方法は、相対グラントメッセージの受信時に、絶対グラントメッセージをもとに決められた基準値に基づいてサービンググラントを設定するために、スケジューリンググラント表(SGテーブル)を提供する段階と、サービンググラントを設定する前に、SGテーブルのうち最大スケジューリンググラントを、絶対グラントメッセージで指定可能な最大絶対グラントより大きいかそれに等しい値に設定する段階とを含む。例文帳に追加

The method includes providing a scheduling grant table (SG table) for configuring a serving grant according to a reference value determined according to an absolute grant message when a relative grant message is received, and setting a maximum scheduled grant value of the SG table to be equal to or greater than a maximum absolute grant value capable of being indicated by the absolute grant message. - 特許庁

この方法は、予め決められた期間に受信されるいくつかのセルのATMセルパケット到着時間に関するデータを生成するステップと、SCRパラメーターを決定するステップと、PCRパラメーターを決定するステップと、PCRリーキーバケットデータおよびSCRリーキーバケットデータを生成するステップと、PCRリーキーバケットデータを使用して、CDVTパラメーターを決定するステップと、SCRリーキーバケットデータを使用して、MBSパラメーターを決定するステップとを含む。例文帳に追加

The method includes steps for: generating data for ATM cell packet arrival times of a number of cells received for a predetermined period of time; determining an SCR parameter; determining a PCR parameter; generating PCR leaky bucket data and SCR leaky bucket data; determining a CDVT parameter utilizing the PCR leaky bucket data; and determining MBS parameter utilizing the SCR leaky bucket data. - 特許庁

切削工具は、カッタ部材が取り付けられたモータアッセンブリの少なくとも一部をカッタ構造体の下端に固定する解除可能な保持手段と、カッタ構造体とモータアッセンブリとの相対的な位置関係を決める手段と、動力供給手段とモータアッセンブリとを接続する手段と、をさらに備えることにより、カッタ部材が取り付けられたモータアッセンブリの少なくとも一部をカッタ構造体から容易に分離させることができることを特徴とする。例文帳に追加

Consequently, at least a part of the motor assembly to which the cutter members are attached can be easily separated from the cutter structural body. - 特許庁

XYθテーブル30によって位置決めされるパネル支持テーブル31に設けられた2つのパネル保持部31a,31bに2枚の表示パネル7A,7Bを保持させ、第1の圧着ヘッド25A、第2の圧着ヘッド25Bに位置合わせして個別に圧着するボンディング方法において、カメラ37、認識部65の位置検出結果に基づいて表示パネル7Aを第1の圧着ヘッドに対して位置合わせして圧着対象物を圧着し、この圧着工程中に表示パネル7Aの保持状態を解除した状態で、表示パネル7Bを第2の圧着ヘッド25Bに対して位置合わせする。例文帳に追加

In the bonding method, display panels 7A and 7B are retained by panel retention sections 31a and 31b provided in a panel support table 31 that is positioned by an XYθ table 30, and alignment to first and second crimp heads 25A and 25B is carried out for crimping individually. - 特許庁

磁力感知手段を組み込んだ位置検出器Kを非磁性管Pの外部へセットすると共に、X線源発生器1を保持し且つ非磁性管P内面に当接するマグネット13を備えた線源支持装置Sを非磁性管Pの内部に挿入し、マグネット13の磁力を位置検出器Kにより検知することによりX線源発生器1のX線放射位置1aを非磁性管P同士の溶接部W直下付近にセットできるようにしたX線源発生器1の位置決め方法および装置である。例文帳に追加

The magnetic force of the magnet 13 is detected by the position detector K to set the X-ray radiating position 1a of the X-ray source generator 1 near a part directly below the mutual weld part of the nonmagnetic pipe P. - 特許庁

例文

増幅率演算回路371が、画像信号を構成する色差信号U,Vにおける各々の値の絶対値のうちの大きい側の値を変数βとして、その変数βがある値であるときの演算結果が、その変更βが前記ある値よりも小さいときの演算結果よりも小さくなる演算を行い決められた範囲内の増幅率αを取得し、その増幅率αで増幅器372,373が色差信号U,Vの双方を増幅する。例文帳に追加

Assuming the larger absolute value of color-difference signals U and V constituting an image signal is a variable β, an amplification factor operating circuit 371 performs such an operation as the operation results when the variable β having some value becomes smaller than the operation results when the variable β having a smaller value thus acquiring an amplification factor α within a predetermined range. - 特許庁

索引トップ用語の索引



  
Copyright © Japan Patent office. All Rights Reserved.
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する
英→日 日→英
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する

©2024 GRAS Group, Inc.RSS