1016万例文収録!

「せるべーじ」に関連した英語例文の一覧と使い方(717ページ目) - Weblio英語例文検索


小窓モード

プレミアム

ログイン
設定

設定

Weblio 辞書 > 英和辞典・和英辞典 > せるべーじに関連した英語例文

セーフサーチ:オン

不適切な検索結果を除外する

不適切な検索結果を除外しない

セーフサーチについて

せるべーじの部分一致の例文一覧と使い方

該当件数 : 36271



例文

オイル通路72に設けられた可変絞り機構77の絞り開度を低下されるか、又は可変絞り機構77の下流側に設けられた油圧センサ78で検出する油圧レベルの設定値を上げることによって、アーリポスト噴射開始時t_1までにオイル循環ポンプ74の動力を増大させる例文帳に追加

The throttle opening of a variable throttle mechanism 77 provided in an oil passage 72 is reduced or a set value for a hydraulic pressure level detected by a hydraulic sensor 78 provided downstream of the variable throttle mechanism 77 is increased to increase the motive power of an oil circulation pump 74 before starting early post injection. - 特許庁

制御部5は、エンコーダ15から受ける二相信号に基づいて、発射ハンドルHDの回転方向を把握する第一処理と、第一処理で把握された回転方向に対応して、強度設定部11に適当個数の変更パルスを供給することで発射強度信号のレベルを変更させる第二処理と、を所定時間毎に繰り返している。例文帳に追加

The control part 5 repeats, at predetermined periods: a first processing for obtaining the rotating direction of the shooting handle HD, based on a two-phase signal to be received from the encoder 15; and a second processing for changing the level of the shooting strength signal VLM by supplying an appropriate number of change pulses to the strength setting part 11 in response to the rotating direction obtained in the first processing. - 特許庁

上面部の2枚の側部フラップ部48aを内部に折込み、2枚のうちいずれか一方の側部フラップ部48aの切り込み52と、この切り込み52に連続する側面部46の切り込み52とに紐54を挿通し、その延長部を紐54の挿通部の反対側になる外側フラップ部47aの側部まで延在させる例文帳に追加

Two side flaps 48a on a top face part are inwardly folded, a string 54 is inserted in the cut line 52 of one of the two side flaps 48a and in the cut line 52 of a side face 46 continuing to the cut line 52 and it is extended as an extension part to the side of an outside flap 47a on the opposite side of the insertion part of the string 54. - 特許庁

膜部分66Bの上記開口に対して重ならない位置に第2オリフィス流路を連通させる連通穴76を設けるとともに、当該重ならない位置の膜面に、膜部分66Bが撓み変形することで弁収容室の対向する壁面68A,68Bとの間で圧縮される突起78を設ける。例文帳に追加

A communicating hole 76 for communicating the second orifice flow passage is arranged in a nonoverlapping position to the openings of the film part 66B, and a projection 78 compressed between opposed wall surfaces 68A and 68B of the valve storage chamber when the film part 66B is deflectively deformed, is arranged on a film surface of the nonoverlapping position. - 特許庁

例文

その後、操作用シャフト2を回転させて、操作用シャフト2に設けたベアリング6aをカム溝5のテーパ面25に沿って移動させ、操作用シャフト2をゆっくり光コネクタ16側に進出移動させ、(f)に示すようにクリップ把持部10を光コネクタ16に装着されているクリップ17に接触させる例文帳に追加

Then, by turning the operating shaft 2, the bearing 6a provided on the shaft 2 is moved along the tapered face 25 of a cam groove 5; and, by slowly advancing the operating shaft 2 to the optical connector 16 side, the clip holder part 10 is brought into contact with the clip 17 loaded on the optical connector 16 as shown in (f). - 特許庁


例文

本発明の畳1は、畳床4を、少なくとも2層構造とし、この層構造のうちの中間又は下層に位置する層の上面あるいは下面に溝Rを形成し、全ての層を一体的に縫い合わせると共に、畳床4の一側面に溝Rと連通させて殺虫剤又は熱風を送り込むための開口部4Baを設けたものである。例文帳に追加

Tatami 1 has at least a two-layer construction of tatami padding 4; grooves R are formed on a top surface or a bottom surface of the middle layer or the bottom layer; all the layers are sewed together to one united body and the grooves R communicate with one side surface of the tatatmi padding 4, and the opening portion 4Ba for sending the insecticide or hot air is provided. - 特許庁

この場合、巻回群16の中心からその半径2mm以上外周寄りに相当する位置から正極リード52を取り出し、巻回群16における最外周の負極集電体と電池缶12の内壁面とを導電性の弾性部材62を介して電気的に接触させるようにする。例文帳に追加

In this case, a positive electrode lead 52 is led out of a position, corresponding to a position outside at 2 mm of radius or more from the center of a winding group 16, and a negative electrode collector provided outermost of the winding group and the inner wall surface of the battery can 12 are made to electrically contact with each other through a conductive elastic member 62. - 特許庁

外板20を、周囲領域Xと円形領域Yと円環状領域Zとに区画した場合に、周囲領域Xの内周部肉厚寸法t1を、円筒ボス根元41の筒壁の肉厚寸法tと同等にして、その肉厚寸法を内周部から外周側に向けて遠ざかるほど漸増させる例文帳に追加

When the outer plate 20 is divided into a peripheral region X, a circular region Y, and an annular region Z, the thickness t1 of the inner peripheral part of the peripheral region X is equivalent to the thickness t of the tube wall of the cylindrical boss base 41, and the thickness is gradually increased as it becomes distant from the inner peripheral part to the outer peripheral part. - 特許庁

シリコン基板101中に形成された素子分離膜と、素子分離膜の間に形成された複数の半導体メモリセルとを有する半導体記憶装置であって、シリコン基板101の面上に形成されると共に少なくとも二つの半導体メモリセルのソース拡散領域112を接続する導電性膜116a,116bを備えたことを特徴とする半導体記憶装置を提供する。例文帳に追加

In a semiconductor storage device, having an element isolation film formed in a silicon substrate 101 and a plurality of semiconductor memory cells formed between the element isolation films, there are provided conductive films 116a, 116b which are formed on the plane of the silicon substrate 101, and connect a source diffusion region 112 of at least two semiconductor memory cells. - 特許庁

例文

操作キー1を手前側からパネル枠2に組み付ける際に、一対の弾性腕片12を対応する切欠き部22に挿入し、両弾性腕片12の鉤状の先端部12aを対応する規制凸部23の背面側に当接させると、位置決め壁26が両弾性腕片12の左右方向への移動を規制し、規制凸部23が両弾性腕片12の手前側への移動を規制する。例文帳に追加

When the operation key 1 is fitted to the panel frame 2 from the front side, a pair of elastic arm pieces 12 are inserted in corresponding cutout portions 22, and the hook-like tip parts 12a of both elastic arm pieces 12 are made to abut on regulating projections corresponding to the tip parts. - 特許庁

例文

本発明にかかるカプセル化プロセスは、(a)第1の液体と、カチオン材料と、アニオン材料とからなる連続相と、第2の液体の複数の液滴からなると共に前記複数の液滴はその中に1から5の粒子を含む分散相と、を含むエマルジョンを形成する工程と、(b)カチオン材料とアニオン材料の複合コアセルベーションを誘起して各滴の周囲に殻を形成する工程と、を含む。例文帳に追加

This encapsulation process comprises a process (a) for forming an emulsion containing a continuous phase comprising a first liquid, a cation material, and an anion material, and a dispersion phase comprising a plurality of droplets including one to five particles, and a process (b) for inducing complex coacervation of the cation material and the anion material and forming a shell around each droplet. - 特許庁

厚さが7.5μm以下のポリイミドフィルムを得る製造方法であって、イミド化させる工程のフィルム端部固定式テンターでフィルムの幅方向の両側端部におけるフィルム把持を、別に用意された細幅の易接着性ポリイミドフィルムを重ねて細幅のフィルムを重ねた部分をピンで突き刺し固定することによって行うことを特徴とするポリイミドフィルムの製造方法。例文帳に追加

This method for producing the polyimide film having a thickness of ≤7.5 μm is characterized by superposing separately prepared narrow easily adhesive films on both the lateral side portions of a polyimide precursor film and then pin-thrusting and fixing to grip the superposed portions with a film end portion-fixing type tenter in a process for imidizing the polyimide precursor film. - 特許庁

さらに、中空パイプ41内には、回転軸線L方向に延在する仕切板42a,42bが設けられているので、中空パイプ41内が分割され、これにより、中空パイプ41内では、真空引きがなされている吸引空間D1と真空引きがなされていない非吸引空間D2とを出現させることができる。例文帳に追加

Since partition boards 42a, 42b extended in a rotational axis L direction are disposed in the hollow pipe 41, the inside of the hollow pipe 41 is divided so that a suction space D1 where vacuuming is performed and non-suction space D2 where vacuuming is not performed can be formed in the hollow pipe 41. - 特許庁

ピン36の頭部36bを通過させる第1の孔部39と、ピン36の首部36aを保持する第2の孔部38と、第1の孔部39を通過した頭部36bが横方向に移動することを規制するために第1の孔部39より小さい幅の規制孔部40とを印画紙案内機構に設ける。例文帳に追加

A photographic paper guide mechanism is provided with the first hole 39 allowing the passage of a head 36b of the bottle 36, the second hole 38 holding a neck 36a of the bottle 36 and a regulating hole 40 of a width smaller than the first hole 39 for regulating the movement of the head 36b past the first hole 39 in a transverse direction. - 特許庁

オキサゾール化合物にルイス酸の存在下、酸ハライド、酸無水物等のアシル化剤を作用させることにより、アシルオキサゾロン化合物を得ることを特徴とするアシルオキサゾロン化合物の製造方法、及び上記アシルオキサゾロン化合物からβ−ケトアミノ酸誘導体を製造する方法。例文帳に追加

The present invention provides the method for producing the acyloxazolone compound in which the acyloxazolone compound is obtained by reacting an oxazole compound with an acylating agent such as an acid halide or an acid anhydride in the presence of a Lowis acid and a method for producing the β-ketoamino acid derivative from the acyloxazolone compound. - 特許庁

制御部5は、エンコーダ15から受ける二相信号に基づいて、発射ハンドルHDの回転方向を把握する第一処理と、第一処理で把握された回転方向に対応して、強度設定部11に適当個数の変更パルスを供給することで発射強度信号のレベルを変更させる第二処理と、を所定時間毎に繰り返している。例文帳に追加

The control part 5 repeats the first process of recognizing the rotating direction of the shooting handle HD and the second process of changing the level of the shooting strength signals by supplying the appropriate number of change pulses to the strength setting part 11 corresponding to the rotating direction recognized in the first process at every prescribed interval of time on the basis of two-phase signals received from the encoder 15. - 特許庁

ベルト式無段変速機18を固定変速比γsに固定する際に、固定変速比γsに対応する変速比固定入力回転速度Nins まで入力回転速度Ninを低下させるように変速機18をアップシフトした後(P1→P2変速)、その固定変速比γs に変速機18を固定する(矢印b)。例文帳に追加

When a belt type continuously variable transmission 18 is fixed to the fixed gear ratio γs, after upshifting the transmission 18 so as to decrease an input rotating speed Nin to a gear ratio fixed input rotating speed Nins corresponding to the fixed gear ratio γs (P1P2 shifting), the transmission 18 is fixed to the fixed gear ratio γs (arrow b). - 特許庁

光照射により芳香族カルボン酸化合物(各種安息香酸誘導体)を得る芳香族カルボン酸化合物の製造方法において、所定のアントラキノン系化合物(2−クロロアントラキノン及び2−カルボキシアントラキノンなど)と、酸素と、酸及び/又は塩基と、の存在下で、所定の芳香族化合物(4−t−ブチルトルエン及びベンジルアルコールなど)を反応させる例文帳に追加

In the method for producing an aromatic carboxylic acid compound to obtain the aromatic carboxylic acid compound (various benzoic acid derivatives) by photoirradiation, a predetermined aromatic compound (4-t-butyltoluene, benzyl alcohol or the like) is reacted in the presence of a predetermined anthraquinone compound (2-chloroanthraquinone, 2-carboxyanthraquinone, or the like), oxygen, and an acid and/or a base. - 特許庁

制御部5は、エンコーダ15から受ける二相信号に基づいて、発射ハンドルHDの回転方向を把握する第一処理と、第一処理で把握された回転方向に対応して、強度設定部11に適当個数の変更パルスを供給することで発射強度信号のレベルを変更させる第二処理と、を所定時間毎に繰り返している。例文帳に追加

The control part 5 repeats the first process of recognizing the rotating direction of the shooting handle HD and the second process of changing the level of the shooting strength signals by supplying the appropriate number of change pulses to the strength setting part 11 in accordance with the rotating direction recognized in the first process at every prescribed interval of time on the basis of two-phase signals received from the encoder 15. - 特許庁

また、本発明のSOI基板の製造方法は、埋め込み用絶縁膜が形成されている素子形成用半導体基板と、ベース用半導体基板5とを接着して貼り合わせる工程の前に、素子形成用半導体基板の選択的な領域に素子形成用半導体基板よりも高不純物濃度の高濃度層3を形成する工程を有するものである。例文帳に追加

A method for manufacturing the SOI substrate includes a step of forming the high-concentration layer 3 in the selective region of the semiconductor substrate for forming element carrying the insulating film for embedding, prior to a step of sticking the semiconductor substrate for forming element and the semiconductor substrate 5 for base to each other. - 特許庁

セルギャップtの約2.5倍未満の幅を有するとともに実質的には液晶ドメイン内に配置される小さな寸法の非導電性ギャップ或いは主にドメインの境界に沿って配置されたこのようなギャップの組み合わせで、電極(3,5)の1方又は双方をパターニングすることで、液晶ドメインの性質に対する優れた制御のみならずセルの反応性をも得ることができる。例文帳に追加

By patterning one or both of the electrodes 3 and 5 with small scale non-conducting gaps which have widths less than about 2.5 times of a cell gap t and are disposed substantially within the liquid crystal domains, or a combination of such gaps with gaps disposed primarily along the domain boundaries, not only control of the nature of the liquid crystal domains but also the responsiveness of the cell can be obtained. - 特許庁

CPU1は既存文書が表示されている状態において、その文書を構成する各構成要素のうち、オペレータによって任意の構成要素が指定されると、指定された構成要素に基づいて機能一覧テーブル13を検索し、この構成要素に対して使用された機能の種類を判別し、機能ガイダンス情報ファイル14を検索して、その機能の種類に該当する案内情報を読出し、ガイダンス表示させる例文帳に追加

When an operator specifies an optional constitutional element out of each constitutional elements constituting an existing document in the displayed state of the document, a CPU 1 retrieves a function table 13 on the basis of the specified constitutional element, judges the sort of the function used for the constitutional element, retrieves a function guidance information file 14, reads out guide information corresponding to the sort of the function, and displays guidance. - 特許庁

本発明による帯域幅割り当てシステムは、ある共有処理ファブリックへのアクセスに対して競合する複数の異なるソースからのトラヒックフロー内のパケットあるいはセルが、第一に、各トラヒックフローに対応する個別の帯域幅保証要件、および第二にシステム全体としての基準、例えば、トラヒックフロー内のパケットあるいはセルの到着時間、あるいは配達期日、によって決定される順番にそのファブリックへのアクセスを得ることを可能にする。例文帳に追加

A band width allocation system allows packets or cells within traffic flows from a plurality of different sources contending for access to a shared processing fabric to get access to the fabric in an order that is determined primarily on individual guaranteed bandwidth requirements associated with each traffic flow, and secondly on overall system criteria such as the arrival time or the delivery date of packets or cells with in traffic flows. - 特許庁

その後、制御装置1は、上記圧力検出値が目標BC圧力値に対して所定値以内に到達したこと、及び車両速度が所定速度以上であることを検知したとき、目標BC圧力制御から車軸12の減速度を目標減速度に一致させる減速度制御に切り換えて、上記目標BC圧力値に対応して設定したブレーキシリンダ2の圧力範囲内で圧力制御弁3を制御する。例文帳に追加

When the control device 1 detects that the detected value of pressure reaches within a predetermined range of the target BC pressure value and that the speed of the vehicle exceeds a predetermined speed, it switches the target BC pressure control to deceleration control for making deceleration of the axle 12 equal to the target deceleration and controls the pressure control valve 3 within the range of pressure of the brake cylinder 2 set in accordance with the target BC pressure value. - 特許庁

アプリケーション起動引数リストを受け取る(5)こと、該アプリケーション起動引数リストにおいて1つまたは2つ以上の入力引数ファイルを識別する(10)こと、2つまたは3つ以上の入力引数ファイルが存在する(15)場合に、2つまたは3つ以上の並列スレッドを作成する(20)こと、および該並列スレッドを使用して前記入力引数ファイルを処理する(25)こと、を含む、コンピュータアプリケーションの性能を向上させる方法とする。例文帳に追加

This method for enhancing computer application performance comprises steps for: receiving an application starting argument list (5); identifying one or more input argument files in the application starting argument list (10); creating two or more parallel threads (20) when two or more input argument files exist (15); and processing the input argument files by using the parallel threads (25). - 特許庁

より高く,商業的に意義のある免税輸入限度額(デミニミス)を提供することは,「アジア太平洋地域中の物品並びにサービスの移動の時間,費用及び不確実性の削減の点から,各エコノミーの個別の経済的状況を考慮に入れ,サプライ・チェーンの能力を2015年までに10パーセント改善させるというAPEC全体の目標」を達成するという,APEC首脳のコミットメントへの意義のある測定可能な貢献である。例文帳に追加

Providing a higher, commercially useful, baseline de minimis value is a significant and measurable contribution to APEC Leaderscommitment to achievean APEC-wide target of a ten percent improvement in supply-chain performance by 2015, in terms of reducing the time, cost, and uncertainty of moving goods and services through the Asia-Pacific region, taking into consideration individual economy’s circumstances”.  - 経済産業省

音声コントローラ1は、集音部10で集音した音に含まれる宅内の音響機器の3出力音に対してエコーキャンセル処理部11でエコーキャンセル処理を施した上で、更にエコーキャンセル処理部11の出力信号に雑音信号を重畳させて出力信号を平滑化し、この平滑化した信号と、音声認識モデルとを比較して出力信号に含まれる音声を認識するのである。例文帳に追加

After making an echo cancel processing unit 11 performs echo cancel processing on output sound of the home audio equipment included in sound picked up by a sound pickup unit 10, the audio controller 1 smoothes an output signal by superposing a noise signal on the output signal of the echo cancel processing unit 11, and compares the smoothed signal with an audio recognition model to recognize audio included in the output signal. - 特許庁

商標の所有者は,商標の対象である製品,サービス又は商業上若しくは産業上の施設の識別のために使用する排他的権利を有する。この内容を具体的に示すと次の通りである。(a) 当該商標,又は当該商標に類似しそれが登録されているクラスの製品若しくはサービスに関する公衆の誤解若しくは取違を生じさせる虞のある商標を第三者が使用することに異議を述べること (b) 商標の所有者に損害を及ぼす可能性があるか又は商標の識別性若しくは商業的価値を減殺する可能性のある商標その他の標識の使用又は出願を防止すること。例文帳に追加

The trademark grants to its owner the exclusive right to use it and apply it to distinguish the products, services, commercial or industrial establishments for which it has been granted, with faculty to: a) oppose to the use or application of the trademark that may be made by third parties, or of a trademark that may be similar and may lead the common public into error or misunderstanding regarding the products or services in the classes where it has been registered; b) prevent the use or application of the trademark and any other sign that may cause harm to the owner of the privilege or when this lessens the distinctive or commercial value of the trademark.  - 特許庁

本声明においては、①中国側は、日本の2050年までに少なくとも半減という見解を留意し、各国と共に、気候変動枠組条約の、気候系に対して危険な人為的干渉を及ぼすこととならない水準において大気中の温室効果ガスの濃度を安定化させるとの究極的な目的を実現させる方法及び措置を検討していくことを表明、②日中両国は、2012年まで及び2013年以降の実効的なプロセス及び枠組みを強化する交渉に積極的に参加することで、2009年末コペンハーゲンで行われる気候変動枠組条約及び京都議定書の締約国会議で結果が出せるようにするとの共通認識に達したこと、③中国側は、セクター別アプローチが排出削減指標又は行動を実施する重要な手段であると表明、等、中国も気候変動問題に関して積極的な姿勢を見せている。例文帳に追加

Statements in the Communique, as listed below, indicates China’s active stance towards climate change. ① China recognizes Japan’s proposal to at least halve the world’s greenhouse gas emissions by 2050, and it will study the means and measures required to achieve the ultimate goal of the United Nations Framework Convention on Climate Change (UNFCCC), which is to stabilize the atmospheric concentration of the greenhouse gases at a level that would prevent dangerous anthropogenic interference with the climate system. ② Both parties share the common understanding that Japan and China will actively participate in talks to increase the effectiveness of the process and the framework until 2012 and beyond 2013, and contribute to attaining beneficial results at the Conference of the Parties to the UNFCCC (COP) and the Conference of the Parties serving as the meeting of the Parties to the Kyoto Protocol (COP/MOP), scheduled to be held in Copenhagen, Denmark, at the end of 2009. ③ China regards the Japanese sector-based approach in setting and implementing emissions reduction targets and cutting emissions as an important idea. - 経済産業省

本発明は、竹繊維の叩解度をミクロフィブリル状態まで小さくした材料を含み、竹繊維中のリグニン含有量を6%以上15%以下として抄紙したスピーカ用ダストキャップ構成とすることにより、ダストキャップの剛性を向上させ、このダストキャップを用いたスピーカの音質の向上や高音域での音圧レベル向上等の明瞭度を向上させることができる構成としたものである。例文帳に追加

The dust cap for speaker is made to include a material in which freeness of bamboo fiber is reduced to a microfibril state, and to have a lignin content of 6 to 15 percent in the bamboo fiber, thus making it possible to increase the rigidity of the dust cap and increase the clarity of the speaker using the dust cap including an increase in sound quality as well as in the sound pressure level in the high register range thereof. - 特許庁

金属−絶縁層接合基板上に電力変換回路が構成され金属ベース側に液冷式冷却装置が構成されるパワー半導体モジュールを製造するにあたり、放熱突起の形状や配置に依存することなく、電力変換回路部の熱処理を伴う組立工程を経ても当該基板に不都合な変形を生じさせることなく精度よく冷却液室を構成し、もって冷却性能の向上を図る。例文帳に追加

To structure a coolant chamber accurately without generating inconvenient deformation on a substrate even through an assembling process in conjunction with heat treatment of a power conversion circuit part without depending on a shape and arrangement of a heat radiation projection in order to improve cooling performance in manufacturing a power semiconductor module in which a power conversion circuit is formed on a metal-insulating layer bonded substrate and a liquid-cooling type cooling device is structured on a metal base side. - 特許庁

電磁弁を追加することなく、従来と同様の要求最小燃焼量から要求最大燃焼量までの燃焼量の調整を行うことができ、燃焼領域の切替え時の燃焼量のオーバーラップを従来と同等に設けることができるものでありながら、各燃焼切替時の制御最大燃焼量に対するガスバーナ1本あたりの最大燃焼量を均一化させる設計を柔軟に行える燃焼装置を提供する。例文帳に追加

To provide a combustion device achieving flexible design for uniforming a maximum combustion rate per one gas burner with respect to a maximum combustion rate to be controlled when switching each combustion while adjusting a combustion rate from a required minimum combustion rate to a required maximum combustion rate in the same manner as before without adding a solenoid valve and providing overlap, equivalent to that in the past, of the combustion rate in switching combustion regions. - 特許庁

感光体と、半導電樹脂からなる中間転写体と、トナー及びキャリアよりなる2成分現像装置と、前記感光体上のトナーを前記中間転写体に転写させる一次転写手段とを有する画像形成装置において、前記感光体上のトナーの帯電量の値により、前記感光体から前記中間転写体に転写する一次転写手段の条件を制御することを特徴とする画像形成装置。例文帳に追加

In this image forming apparatus provided with the photoreceptor, the intermediate transfer member consisting of semi-conductive resin, a binary developing device consisting of the toner and carrier, and a primary transfer means transferring the toner on the photoreceptor to the intermediate transfer member, conditions of the primary transfer means transferring from the photoreceptor to the intermediate transfer member are controlled depending on an amount of charge of the toner on the photoreceptor. - 特許庁

フィラーとして用いたときに、得られる樹脂組成物の異方性を小さく抑えることができるとともに、その所定の性能を確実に発現することができ、さらには加工装置の損傷を抑えることができる円盤状ベーマイト及び円盤状アルミナ並びにそれらの製造方法、並びに異方性が小さく、またフィラーの所定の性能を確実に発現させることができるうえに、加工装置の損傷を抑えることができる樹脂組成物を提供する。例文帳に追加

To provide a disklike boehmite and a disklike alumina, capable of suppressing anisotropy of an obtained resin composition to be small and certainly expressing its predetermined performance when used as a filler and further suppressing the damage of processing apparatus, provide a method of producing thereof, and to provide a resin composition having little anisotropy and capable of making the filler certainly express its predetermined performance and suppressing the damage of the processing apparatus. - 特許庁

また、我が国においても、日本銀行の山口副総裁(当時)は、グローバル化・IT化の進む現在の金融システムに対して、「技術革新とグローバル化によって平時にもたらされている市場の厚みあるいは潤沢な流動性は、大きなストレスを受ける金融危機時には瞬時に失われ、そのことが危機を増幅させるリスクを孕んでいる。」と指摘した上で、「こうした状況の中で我々がまず為すべきことは、規制によって技術の展開や市場の革新を抑え込もうとすることではなく、規制・監督、市場慣行、ディスクロージャー、会計制度等々が含まれる広い意味での市場インフラを整備し頑健なものにしていくことであり、これらを体系的に改善していくことは金融危機のリスクという不確実性の最たるものを減らすことに貢献する。」と指摘している13。例文帳に追加

In Japan as well, then-Deputy Governor Yutaka Yamaguchi of the Bank of Japan pointed out regarding the current financial system wherein globalization and diffusion of IT are progressing, that the depth and ample liquidity of markets created by technological innovation and globalization in normal times could be immediately lost in times of a financial crisis accompanied by enormous stress, and there is an inherent risk that it would further amplify the crisis.He also added, “Under such circumstances, we should not suppress, by regulation, the development of technology and innovation in the market. Rather, we should strive to provide a supportive market infrastructure, including regulation and supervision, market practices, disclosure, and accounting methods, in a broad context and to make it more robust. The systematic improvement of market infrastructure will contribute to reducing the risk of financial crises, which is the most serious uncertainty.” 13 - 経済産業省

上記課題は、セルラ方式の移動通信システムにおける通信サービスエリアの構成において、上記移動通信システムから移動機に対して所定の通信サービスが提供される通信サービスエリアに重畳して上記移動通信システムから移動機に対して上記所定の通信サービスと異なる通信サービスとして情報の配信を行い得る特別エリアを形成した通信サービスエリアの構成にて達成される。例文帳に追加

The purpose above can be achieved in the configuration of the communication service area in the mobile communication system adopting the cellular system such that a particular area where information can be distributed as a communication service different from a prescribed communication service from the mobile communication system to the mobile unit, is superimposed on a communication service area where the mobile communication system can provide the prescribed communication service to the mobile unit. - 特許庁

2種以上の異なる微粒子状免疫刺激物質担体にそれぞれ担持された1種又は2種以上の免疫刺激物質を含み、該微粒子状免疫刺激物質担体として(a)細胞による貪食可能なサイズの特定の微粒子状リン酸カルシウム及び(b)可溶性蛋白とムコ多糖とのコアセルベーションによる沈殿物の組み合わせを含む免疫アジュバント。例文帳に追加

The immunoadjuvant comprises one or two or more kinds of immune stimulants where each of them is supported with two or more different fine particle immunostimulating substrates and includes, as the fine particular immunostimulant supporter, a combination of (a) a specific fine particular calcium phosphate having a phagocytic size by cells and (b) a deposit caused by the coacervation between a soluble protein and a mucopolysaccharide. - 特許庁

このとき、画像処理装置300は、当該表面から所定値分ずらした位置のボクセルデータに対応する色情報を用いることで、腸管内壁表面の明確な陰影表示を維持しつつ、当該腸管内壁の内部に浸潤的に発現した異状部位を識別可能に表示する3次元医用画像を生成する。例文帳に追加

At the time, the image processing device 300 generates the three-dimensional medical image, in which the abnormal region invasively manifested inside the inner wall of the intestine is discriminably displayed, while maintaining the clear shade display on the surface of the inner wall of the intestine by using color information corresponding to the voxel data at a position displaced from the surface by a presdetermined value. - 特許庁

減算回路7は、超音波受信素子4aの出力する受信信号を増幅、整流した信号から、超音波受信素子4bの出力する受信信号を増幅、整流した信号を減算することにより、超音波受信素子4aで受信した受信信号に含まれる、チューブ20の壁を伝わって回り込んだ超音波による成分をキャンセルする。例文帳に追加

A subtraction circuit 7 cancels the sneaking component of the ultrasonic wave contained in the received signals of the receiving element 4a and transmitted through the wall of the tube 20, by subtracting signals obtained by amplifying and rectifying the received signals outputted from the receiving element 4b from the signals obtained by amplifying and rectifying the received signals outputted from the receiving element 4a. - 特許庁

本発明は、それぞれ修復可能なRAMメモリセルの複数のセグメントと、1つまたは複数の欠陥セグメントを修復するための修復データを生成する状態機械と、1つまたは複数の欠陥セグメントを識別するデータを生成するスキャンアドレスマシンと、スキャンアドレスマシンにより識別された1つまたは複数の欠陥セグメントに状態機械により生成された修復データをマップするマッピング回路と、を含む修復可能なRAMブロックを提供する。例文帳に追加

A restorable RAM block comprises plural segment of a RAM memory cell being restorable respectively, a state machine generating restoring data for restoring one or plural defective segments, a scan address machine generating data discriminating one or plural defective segments, and a mapping circuit mapping restored data generated by a state machine to one or plural defective segments discriminated by the scan address machine. - 特許庁

ポリオキシエチレンポリオキシプロピレン重合体、塩化ジメチルジアリルアンモニウム重合体、ポリアスパラギン酸塩、ヤシ油脂肪酸アミドプロピルベタイン及び塩化O−[2−ヒドロキシ−3−(トリメチルアンモニオ)プロピル]ヒドロキシエチルセルロースからなる群より選ばれた1種又は2種以上からなることを特徴とする浴湯の感触調整剤。例文帳に追加

The agent for controlling touch feeling of bath water comprises one or more kinds of compounds selected from a group consisting of a polyoxyethylene-polyoxypropylene polymer, dimethyldiallyl ammonium chloride polymer, a polyasparatic acid salt, a palm oil fatty acid amide propylbetain and chlorinated O-[2-hydroxy-3-(trimethylammonio)propyl]hydroxyethyl cellulose. - 特許庁

細胞傷害部分がアントラサイクリン、カンプトテシン、パクリタキセル、ドキソルビシン、又はそれらの誘導体、であり、標的指向部分がソマトスタチン、ボンベシン、若しくはLHRH、あるいはそれらの類似体、又は前記リガンド又は前記類似体の誘導体である。例文帳に追加

The cytotoxic part is anthracycline, camptothecine, paclitaxel, doxorubicin or their derivatives, and the targeted part is somatostatin, bombesin, LHRH, their analogues, the ligand or the derivatives of the analogues. - 特許庁

工作物に対して化学的作用を及ぼすフッ素系オイル2a(あるいは、塩素系オイル、窒素系オイル)からなる液状物質を内包した所定粒径、所定壁厚のマイクロカプセル2を、研磨布(研磨パッド)10を形成する発泡ポリウレタン樹脂3a中に分散添加する。例文帳に追加

The microcapsules 2 of a given grain size and a given wall thickness including a liquid substance that shows chemical action on a workpiece and consists of a fluorine-, chlorine-or nitrogen-system oil 2a are dispersedly added to a foamed polyurethane resin 3a forming the abrasive cloth (abrasive pad) 10. - 特許庁

従来のSA−STIセル構造の形成方法におけるような導電層のエッチング残りによる短絡や、従来のトレンチ素子分離領域の形成方法におけるようなトレンチ端部の突起の発生を防止することができる半導体装置およびその製造方法を提供する。例文帳に追加

To prevent a short circuit from occurring due to etching residues of a conducive layer and a projection from being formed at the edge of a trench. - 特許庁

低温加熱発泡性インキ層3の発泡剤には低沸点炭化水素を芯物質とし、ポリ塩化ビニリデン系またはポリアクリロニトリル系共重合体を壁物質としてマイクロカプセル化した粒径5〜40μmの球状粒子を用いることが好ましい。例文帳に追加

As for the foaming agent for the low temperature heat foamable ink layer 3, it is preferable to use spheric particles having 5 to 40 μm particle size prepared by encapsulating a hydrocarbon having low boiling temperature as the core substance in a polyvinylidene chloride-based or polyacrylonitrile-based copolymer as the wall substance. - 特許庁

外周面に多数の微小孔を設けたローラ軸の外周面上に多孔質層を形成し、ローラ軸内にインクを充填することで微小孔から多孔質層にインクを浸透させるメンテナンスローラ5を備えたメンテナンス装置1と、メンテナンスローラのローラ軸内に多孔質層に浸透させるためのインクを送り込む、第1の遮断弁19、インクタンク20、送気ポンプ24等からなるインク供給手段を設け、メンテナンス装置1をインクジェットヘッドにおける多数のノズルを配置したノズル面の一端にメンテナンスローラが接触するようにし、インク供給手段を駆動しつつメンテナンスローラをノズル面の一端から他端へ移動してノズル面をインクで濡らす。例文帳に追加

In the maintenance apparatus 1, the maintenance roller is brought into contact with one end of a nozzle face on which a number of nozzles are arranged on the inkjet head, and while the ink feeding means is driven, the maintenance roller is moved from one end of the nozzle face to the other end to wet the nozzle face with the ink. - 特許庁

本発明の一実施例によるバッテリーパックは、キャッププレート及びキャッププレートの中央領域に位置する電極端子を含むベアセル、キャッププレート上に位置して電極端子と対応する領域に形成された第1端子ホールを含む絶縁層、絶縁上に位置して、電極端子と対応する領域に形成された第2端子ホールを含む保護回路基板を含む保護回路モジュールを含むことを特徴とする。例文帳に追加

The battery pack under one embodiment includes: a bare cell including a cap plate and an electrode terminal positioned in a central region of the cap plate; an insulating layer including a first terminal hole formed in a region corresponding to the electrode terminal, which is located on the cap plate; and a protective circuit module, positioned on the insulating layer, including a protective circuit board containing a second terminal hole formed in the region corresponding to the electrode terminal. - 特許庁

(1) 第19条(1)に拘らず,誠実な同時使用の場合,第6条(4)(c)にいう事情の場合,又は裁判所若しくは登録官がそうすることが適切と判断する特別の事情がある場合において,裁判所又は登録官は,次の何れかに該当するときは,同一の又は誤認若しくは混同を生じさせる虞がある程に類似する商標について複数の所有者の登録を認めることができる。 (a) それら複数の所有者の登録が同一の商品又は同一種類の商品に係るものであるとき,又は (b) 少なくとも1の所有者の場合は商品に係るものであり,他のすべての所有者の場合は当該商品と密接に関連するサービスに係るものであるとき ただし,裁判所又は場合に応じて登録官が課すことが適当と判断する条件,補正,修正又は制限に従うことを条件とする。 [法律A881による置換]例文帳に追加

(1) Notwithstanding subsection (1) of section 19, in the case of honest concurrent use or of the circumstances described in paragraph (c) of subsection (4) of section 6 or of other special circumstances which, in the opinion of the Court or the Registrar, make it proper so to do, the Court or the Registrar may permit the registration of more than one proprietor in respect of trade marks which are identical or so nearly resembling each other as are likely to deceive or cause confusion where the registration of the different proprietors . (a) is in respect of the same goods or description of goods; or (b) in the case of at least one proprietor, is in respect of goods, and in the case of the other or others, is in respect of services closely related to those goods, subject to such conditions, amendments, modifications or limitations, if any, as the Court or the Registrar, as the case may be, may think right to impose. [Subs. Act A881] - 特許庁

制御ゲート電極膜103は、第1の方向に延在する共通接続部1031と、共通接続部1031から第2の方向に突出し、フローティングゲート電極膜109の上部または下部に電極間絶縁膜108を介してメモリセルMCごとに設けられる電極構成部1032と、を有する。例文帳に追加

A control gate electrode film 103 includes a common connection part 1031 extending in a first direction, and an electrode configuration part 1032 projecting from the common connection part 1031 in the second direction and provided for each memory cell MC on an upper part or a lower part of the floating gate electrode film 109 via an inter-electrode insulation film 108. - 特許庁

例文

本発明の薄膜太陽電池は、基板101表面に形成された、亜鉛を含む酸化インジウムからなる透明導電層102と、光エネルギーを電気エネルギーに変換する、p層103,i層104,n層105からなる単位セル106と、変換された電気エネルギーを取り出す収集電極層107とを有することを特徴とする。例文帳に追加

This thin film solar cell has a transparent conductive layer 102 which is formed on a surface of a substrate 101 and is composed of indium oxide containing zinc; a unit cell 106 which transfers an optical energy to an electric energy and comprises a p layer 103, an i layer 104 and an n layer 105; and a collection electrode layer 107 for taking out the transferred electric energy. - 特許庁

索引トップ用語の索引



  
Copyright © Japan Patent office. All Rights Reserved.
  
Copyright © Japan Patent office. All Rights Reserved.
  
Copyright Ministry of Economy, Trade and Industry. All Rights Reserved.
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する
英→日 日→英
こんにちは ゲスト さん

ログイン

Weblio会員(無料)になると

会員登録のメリット検索履歴を保存できる!

会員登録のメリット語彙力診断の実施回数増加!

無料会員に登録する

©2024 GRAS Group, Inc.RSS